Некоммерческое акционерное общество

Алматинский институт энергетики и  связи

 

 

 Кафедра электроники

ЦИФРОВАЯ ОБРАБОТКА СИГНАЛОВ

 

Конспект лекций

для магистрантов по специальности 6N0719 – Радиотехника, электроника и телекоммуникации

  

Алматы 2009

СОСТАВИТЕЛЬ: С.Н.Петрищенко. Цифровая обработка сигналов. Конспект лекций для магистрантов специальности 6N0719 – Радиотехника, электроника и телекоммуникации. - Алматы: АИЭС, 2009. –  36 с.

Приведены основные понятия и математические соотношения цифровой обработки сигналов, классы и типы цифровых фильтров, рассмотрены свойства рекурсивных цепей 1-го и 2-го порядков и нерекурсивных цепей с линейной фазочастотной характеристикой, излагаются вопросы Фурье-анализа и квантования в цифровых системах. а также использования сигнальных процессоров в решениях задач цифровой обработки сигналов.

Курс лекций предназначен для магистрантов специальности 6N0719 - Радиотехника, электроника и телекоммуникации.

  

Лекция №1. Основные понятия и математические соотношения цифровой обработки сигналов

 

Содержание лекции:

- назначение цифровой обработки сигналов, дискретные сигналы, нормирование, z – преобразование, его основные свойства, спектр дискретных сигналов.

Цель лекции:

- ознакомиться с направлением развития цифровой обработки сигналов, изучить типовые дискретные сигналы, приемы нормирования дискретных сигналов, методы z – преобразования и преобразования Фурье.

Цифровая обработка сигналов (ЦОС) как комплексное научно-техническое направление базируется на применении ЭВМ и специальных средств вычислительной техники для цифровой обработки сигналов в системах телекоммуникаций (включая глобальную сеть Internet), управления, мультимедиа, медицины и многих других.

Теоретические основы, аппаратные и программные средства реализации ЦОС, системы и средства автоматизированного проектирования аппаратуры на этой базе определяют принципиально новую идеологию и технологию построения вышеназванных систем и устройств. Методы и устройства ЦОС являются обязательной частью большинства современных систем управления и связи, обеспечивая высокую технологичность, существенное снижение габаритов, идентичность характеристик и гибкость (возможность быстрой перестройки и адаптации к условиям приема сигналов).

ЦОС имеет дело с цифровыми сигналами, происходящими из дискретных сигналов, которые дискретны по времени и непрерывны по состоянию. Они описываются решетчатой функцией , где  - номер отсчета 0,1,2,3…, интервал Т – период дискретизации, а обратная величина Т -  частота дискретизации  Цифровые сигналы в отличии от дискретных  сигналов дискретны не только по времени, но и по состоянию, они могут принимать только конечное число значений из некоторого конечного интервала. Эти значения называются уровнями квантования, а соответствующие функции –квантованными.

При анализе дискретных сигналов удобно пользоваться нормированным временем  Таким образом, номер  отсчета  дискретного сигнала может интерпретироваться как нормированное время.

При изучении цифровых цепей в качестве испытательных воздействий чаще других используются два дискретных сигнала:

1) цифровой единичный импульс, который     показан на рисунке 1,а и  математически представлен соотношением

где  

Задержанный цифровой единичный импульс описывается последовательностью

 

Этот сигнал, в отличие от незадержанного, равен единице при и нулю при всех остальных значениях .

2) цифровой единичный скачок, показан на рисунке 1,б и представлен математическим соотношением

где

Задержанный цифровой единичный скачок описывается последовательностью

 

Этот сигнал, в отличие от незадержанного, равен единице при и нулю при всех остальных значениях .

 

     

 

 

                          а)                                                          б)

Рисунок 1 

К типовым дискретным сигналам относятся также экспонента, гармонический сигнал и комплексный гармонический сигнал [ 1 ].

По теореме Котельникова максимальная частота аналогового сигнала  не должна превышать половины частоты дискретизации  , поэтому в частотной области все дискретные сигналы целесообразно рассматривать в диапазоне , где  - частота Найквиста. Это позволяет ввести понятие нормированной частоты где - текущая частота. Тогда на частоте Найквиста . Таким образом дискретный сигнал можно рассматривать в основном частотном диапазоне .

Для нормированной круговой частоты , то есть основная полоса частот соответствует области  

Полезным методом описания дискретных систем является - преобразование, которое оказывается наглядной и удобной формой представления процессов, протекающих при цифровой обработке.

Прямое - преобразование определяет - образ дискретной последовательности  следующим соотношением

                                                      (1.1)

Дискретный сигнал  называется оригиналом, а функция  - изображением (Z–образ). Аргумент функции  является комплексной величиной  или в полярных координатах  где а . Комплексная функция F(z) определена лишь для тех значений , при которых ряд (1.1) сходится. Условием сходимости ряда (1.1) является

                                      < ∞.                             (1.2)

Удобным способом графического представления  является изображение полюсов и нулей  функции в - плоскости, называемое картой нулей и полюсов.

В таблице 1 представлены некоторые типовые последовательности и их прямые - преобразования.

Т а б л и ц а 1

 

Последовательность

  

 

 

 

  

 

- образ

 

 1

 

 

 

               

 

Обратное Z–преобразование решает задачу восстановления оригинала по известному изображению, используя следующее соотношение

                                                      (1.3)

где С – контур сходимости охватывающий начало координат - плоскости.

Такой интеграл решить сложно, поэтому существуют более простые способы нахождения обратного - преобразования: с использованием таблицы соответствия, на основании теоремы Коши о вычетах или разложением изображения на простые дроби [ 1 ].

Основные свойства - преобразования сводятся к следующему:

1.                Линейность. Если  и - решетчатые функции, а  и  - постоянные действительные коэффициенты, то

 

                            (1.4)

 

2. Сдвиг последовательности (задержка). Если последовательность   имеет - преобразование , то задержанная на интервалов последовательность , имеет  - преобразование

 

                                                                                     (1.5)

 

Таким образом, задержка сигнала на интервалов дискретизации во временной области эквивалентна умножению на  в - области.

3. Свертка последовательностей. Если последовательности  и  имеют - преобразования  и , то последовательность , представляющая собой свертку исходных последовательностей , имеет - преобразование  Вывод: свертка сигналов во временной области эквивалентна умножению - образов в - области.

Для описания дискретных сигналов в частотной области используется спектр, который связан  с дискретным сигналом парой преобразований Фурье. Спектром  или фурье-изображением дискретного сигнала называют прямое преобразование Фурье дискретной последовательности

                                   ,                             (1.6)

где - оригинал (дискретная последовательность).

Из формулы (1.6) следует, что спектр является периодической функцией по частоте с периодом, равным частоте дискретизации . Модуль и аргумент спектра также являются периодическими функциями с тем же периодом, причем модуль спектра - четная, а аргумент – нечетная функции.

Обратное преобразование Фурье для дискретной последовательности

                                                    (1.7)

Если сравнить формулы (1.6) и (1.1), то можно увидеть, что преобразование Фурье представляет собой частный случай z – преобразования:                                       

Свойства спектра дискретного сигнала следуют из свойств z-преобразования [ 2 ].

Лекция №2. Классы и типы цифровых фильтров

 

Содержание лекции:

- два класса цифровых фильтров, их математическое описание, общая характеристика типов цифровых фильтров.

Цель лекции:

- ознакомиться с математическим описанием рекурсивных цифровых фильтров и нерекурсивных с линейной фазочастотной характеристикой, изучить типы цифровых фильтров с предоставлением их краткой характеристики.

К одному из основных направлений цифровой обработки сигналов (ЦОС) относится цифровая фильтрация. Цифровая фильтрация – это процесс преобразования цифровых сигналов с целью выделения и/или подавления определенных частот этих сигналов, а устройство, выполняющее фильтрацию, называется фильтром. На рисунке 2 представлены классы и типы цифровых фильтров (ЦФ).

 

Подпись: НЧ
ВЧ
ПФ
РФ
АК
 

 

 Рисунок 2 

Из рисунка 2 видно, что в области цифровой фильтрации разработчик систем ЦОС имеет дело с реализацией двух классов фильтров:

- фильтры с бесконечной импульсной характеристикой (БИХ-фильтры), то есть с импульсной характеристикой, имеющей бесконечную длину во временной области; такой фильтр называют еще рекурсивным из-за наличия обратной связи;

- фильтры с конечной импульсной характеристикой (КИХ-фильтр), то

есть с импульсной характеристикой, ограниченной по времени ( с какого-то момента времени она становится равной нулю); из-за отсутствия обратной связи такие фильтры называют нерекурсивными.

Оба класса фильтров относятся к классу линейных систем с постоянными параметрами, в которых входная и выходная последовательности связаны отношениями типа свертки. Если обозначить через отклик системы на единичный импульс, то получим свертку вида:

                                                                   (2.1)                                                                 

где  - отсчеты входного и выходного сигналов;

h(k) импульсная характеристика;

x(n - k) - входной отсчет, задержанный на k интервалов дискретизации.               

Цифровые фильтры полностью описываются во временной области разностными уравнениями, а в z-области – передаточными функциями.

В БИХ-фильтре отсчет выходного сигнала определяется значениями входного и выходного сигналов, а в КИХ-фильтре – только значениями входного сигнала.

         Рекурсивные фильтры представляют собой системы с обратной связью и описываются разностными уравнениями вида

 

                                                                     (2.2)

где  bi и ak – вещественные коэффициенты, причем хотя бы один   ak ≠ 0;

x(n-i) - входные отсчеты, задержанные на  периодов дискретизации  ;   

y(n-k)-выходные отсчеты, задержанные на периодов дискретизации T;

N и M – постоянные целые числа, причем МN.

Передаточная функция рекурсивного фильтра в соответствии с (2.2) имеет вид

                                                     (2.3)                    

где  z–  комплексная переменная, причем .

          При  после подстановки  в (2.3) получаем комплексную частотную характеристику

                                                                                      (2.4)

модуль которой  представляет собой  ненормированную амплитудно-частотную характеристику (АЧХ)

                                                                                                                  (2.5)

а аргумент – фазочастотную характеристику (ФЧХ)

 

                                                                                                 (2.6)

Нерекурсивные фильтры представляют собой системы без обратной связи; их разностные уравнения и передаточные функции получаются из (2.2) и (2.3) при ak = 0 соответственно

                                                           ,                                             (2.7)

                                                           ,                                                      (2.8)

где N  - число коэффициентов;

N-1 – порядок фильтра.

            Амплитудно-частотные и фазочастотные характеристики    вычисляются из (2.8) при   согласно (2.5) и (2.6) [ 1 ].

Необходимо отметить, что   коэффициенты ak передаточной функции рекурсивного фильтра по абсолютной величине равны коэффициентам разностного уравнения, но противоположны по знаку, а  коэффициенты bi разностного уравнения и передаточной функции нерекурсивного  фильтра полностью совпадают и представляют собой отсчеты его импульсной характеристики.

Таким образом, для построения систем цифровой фильтрации требуется эффективная реализация соотношения типа дискретной свертки (2.1), которая раскладывается на операции умножения и накапливающего суммирования, а также операции задержки, что учитывается в архитектуре сигнальных процессоров при реализации процессов цифровой фильтрации.

На рисунке 2 также представлена возможная реализация фильтров низкой частоты (НЧ), высокой частоты (ВЧ), полосовых фильтров (ПФ), режекторных фильтров (РФ), а также амплитудных корректоров (АК), преобразователей Гильберта и дифференциаторов. Из рисунка 2 видно, что БИХ-фильтры различают по свойствам частотных характеристик на фильтры Баттерворта, Чебышева 1 и 2 и Золотарева-Кауэра.

Дадим краткую характеристику вышеприведенным типам фильтров.

Отличительной особенностью фильтров Баттерворта является то, что они обладают максимально плоской амплитудно-частотной характеристикой (АЧХ) в полосе пропускания и монотонной в полосе задерживания, причем в полосе пропускания ФЧХ близка к линейной. Данные фильтры являются фильтрами общего назначения, так как они наиболее полно соответствуют условиям безыскаженной передачи сигналов, поэтому применяются при необходимости сохранения соотношений составляющих сигнала по амплитуде и фазе.

Фильтры Чебышева характеризуются крутым спадом АЧХ (при том же порядке), однако это приводит к увеличению нелинейности фазовой характеристики в полосе пропускания. Амплитудно-частотные характеристики фильтров Чебышева 1 рода в полосе пропускания имеют равноволновой характер, а в полосе задерживания монотонно убывают. Фильтры Чебышева II рода (инверсные) обладают монотонной АЧХ в полосе пропускания и равноволновой в полосе задерживания.

Фильтры Чебышева I широко применяются в радиосистемах для подавления помех от соседних радиостанций. Инверсные фильтры применяются значительно реже, чем фильтры I рода, поскольку они требуют реализации нулей. Это не только усложняет программную и аппаратную реализации, но и увеличивает собственный шум фильтра за счет введения умножений в числителе передаточной функции. Тем не менее инверсные фильтры обладают и важным положительным свойством: их АЧХ является монотонной в полосе пропускания.

 Фильтры Золотарева-Кауэра (эллиптические) характеризуются равноволновой АЧХ как в полосе пропускания, так и в полосе задерживания. Данный тип фильтров применяют в задачах, требующих высокой избирательности и не критичных к виду фазовой характеристики.

Амплитудные корректоры – это фильтры, предназначенные для выравнивания АЧХ системы в пределах ее рабочей полосы до установленных норм. Амплитудные корректоры подключаются каскадно с корректируемым частотным трактом и устанавливаются либо перед трактом, либо после него.

Преобразователь Гильберта осуществляет демодуляцию однополосного сигнала, который получается выделением одной из боковых полос амплитудно-модулированного сигнала. Результатом демодуляции является низкочастотный сигнал, представляющий собой огибающую узкополостного сигнала. Данное преобразование применяется в системах радиосвязи для задач  модуляции  и  демодуляции  узкополосных  сигналов и сдвига частоты.

Дифференциатор выполняет операцию дифференцирования в заданной области частот. Обычно цифровые дифференциаторы применяются в системах управления, где требуется линейная зависимость сигнала управления от частоты исходного сигнала, генерируемого управляющим объектом.

Лекция №3. Рекурсивные цепи первого и второго порядков

 

Содержание лекции: временной и частотный анализ, оценка на устойчивость рекурсивных цепей первого и второго порядков.

Цель лекции: изучить структуру рекурсивных цепей первого и второго порядков, временные и частотные характеристики, уметь оценивать цепи на их устойчивость.

Большую роль в линейных дискретных системах с постоянными параметрами играют рекурсивные цепи 1-го и 2-го порядков, на их основе можно построить цепи более высоких порядков.

Передаточная функция (ПФ) рекурсивной цепи 1-го порядка имеет вид:

                                ,                                                 (3.1)

Если числитель передаточной функции H(z) равен 1, то цепь для удобства будем называть базовым звеном 1-го порядка. Важной характеристикой для описания свойств линейных дискретных систем является импульсная характеристика (ИХ), как ее реакция на единичный импульс. ИХ звена 1-го порядка имеет вид

 

h(n)  =                      (3.2)

На рисунке 3 представлена прямая структурная схема цепи 1-го порядка

 

 

 

 

 

Рисунок 3

 

Нуль  z0    и полюс  z*  передаточной функции вычисляются по формулам

                                                                (3.3)

Условием устойчивости является | z* | = | a1 | < 1. Из формулы (3.I) при z=ejwT получаются выражения для амплитудно-частотной характеристики (АЧХ)

                         (3.4)

и фазочастотной характеристики (ФЧХ)

 

                                      (3.5)

где - нормированная круговая частота.

Нормированной АЧХ называют соотношение

                                                                               (3.6)

Из формулы 3.6 следует, что .

Для оценки вида АЧХ удобно пользоваться формулами экспресс-анализа, которые позволяют определить значения АЧХ на трех частотах

                                                                   (3.7)

 

Рекурсивная цепь 2-ого порядка описывается передаточной функцией

                                   (3.9)

 

Нули и полюсы H(z) определяются из решений уравнений

 

                                (3.10)

 

Из этих уравнений находятся:

1.     Нули                         

                                                                                                          (3.12)      

Если дискриминант неотрицательный, то имеем вещественные нули, в про­тивном случае, H(z) обладает двумя комплексно-сопряженными нулями

                                   

                                                                                        (3.13)                                                                                                       

         где                                                                                                                                                                                                   

2. Полюсы     (3.14)

 

Если дискриминант неотрицательный, то имеем вещественные полюсы, в противном случае, H(z) обладает двумя комплексно-сопряженными полюсами  

                                                                                                                                    (3.15)

где                                          

Импульсная характеристика цепи второго порядка при комплексно-сопряженных полюсах имеет вид

 

                    (3.16)

 

Как следует из выражения (3.16) слагаемое, у которого степень меньше нуля, равно нулю, поскольку рассматриваются только физически возможные цепи (у таких цепей реакция не может опережать воздействие).

Прямая структурная схема цепи второго порядка представлена на рисунке 3

 

 

 

 

 

 

   

                                                                           Рисунок 3

 

Важным свойством цепи является ее устойчивость. Для устойчивости цифровой цепи второго порядка необходимо, чтобы все полюса передаточной функции находились внутри единичного круга z-плоскости, то есть | Z* | < 1. Данное условие накладывает определенные ограничения на величину коэффициентов знаменателя передаточной функции рекурсивного фильтра. Для рекурсивного фильтра второго порядка с комплексно-сопряженными полюсами эти ограничения соответствуют

                 << 2      и     0 << 1                                 (3.17)

 

Цепи второго порядка в зависимости от соотношения коэффициентов могут обладать различной избирательностью: низкочастотной (НЧ), высокочастотной (ВЧ), полосовой (П), режекторной (Р).

Из передаточной функции (3.9) при получаются выражения для АЧХ и ФЧХ

;         (3.18)

∙(3.19)

 

Для определения избирательности цепи необходимо уметь строить АЧХ по характерным точкам, к которым обычно относят значения АЧХ на следующих частотах:

.

Последние две частоты соответствуют фазам нуля  и полюса , при этом максимум АЧХ находится приблизительно на частоте .

Для оценки вида АЧХ удобно пользоваться формулами экспресс-анализа для вычисления АЧХ и ФЧХ на трех частотах:

 

                                (3.20)

 

Для оценки минимума и максимума АЧХ необходимо произвести  вычисления  при и  

Лекция №4. Нерекурсивные цепи с линейной фазочастотной характеристикой

 

Содержание лекции: типы  КИХ-фильтров с линейной ФЧХ, их характеристика, структура и применение.

Цель лекции: изучить характеристики четырех типов КИХ-фильтров с линейной ФЧХ, их структуру, а также возможность использования определенных типов фильтров для создания преобразователя Гильберта и дифференциаторов.

Нерекурсивные фильтры обладают тремя принципиально важными с практической точки зрения свойствами:

            а) они абсолютно устойчивы;

б) коэффициенты  разностного уравнения (2.7) или передаточной функции (2.8) представляют собой отсчеты   импульсной характеристики

                           

т.е. количество отсчетов импульсной характеристики (ее длина ) и ее длительность  конечны;

            в) только КИХ-фильтры могут обладать строго линейной ФЧХ.

         Последнее свойство зависит от вида импульсной характеристики и определяется следующим правилом:

         Для того чтобы КИХ-фильтр обладал линейной ФЧХ во всем диапазоне частот  за исключением скачков на  радиан на частотах, где , необходимо и достаточно, чтобы его  ИХ  была симметричной

 

                                      ,                         

или антисимметричной

 

                                                                    

 

В этих случаях  при некратных нулях ФЧХ  рассчитывается по формуле

 

                                                              (4.1)                        

 

где     –  длина ИХ;

- нормированная частота;

 – период частоты дискретизации;

          – номер частоты  , на которой

Необходимо отметить, что  возможно только в полосе задерживания (подавления), а  принимает значение 0 в случае симметричности ИХ и значение 1 в случае антисимметричнности ИХ; равенство  означает, что  ФЧХ  имеет постоянный сдвиг на   радиан.

В зависимости от четности или нечетности   и симметричности  или антисимметричности ИХ существуют четыре типа КИХ-фильтров с линейной  ФЧХ, как показано в таблице 2.

Т а б л и ц а 2  

Длина ИХ

Порядок

фильтра

=-1

Импульсная характеристика

симметричная

Антисимметричная

Нечетная

Четный

Тип 1   Характер  АЧХ:

             произвольный;           синтез любых

             избирательных

             фильтров и

             корректоров,

            

Тип 3   Характер АЧХ:

           

            независимо от

            коэффициентов;

            синтез преобразователей

              Гильберта,           дифференциаторов,

            

Четная

Нечетный

Тип 2   Характер АЧХ:

    

      независимо от

      коэффициентов;

        синтез НЧ и полосовых

           фильтров,

          

 Тип 4   Характер АЧХ:

       независимо от

         коэффициентов; синтез

         дифференциаторов и

         преобразователей

               Гильберта

             

 

 

Из таблицы 2 видно, что представленные в ней КИХ-фильтры отличаются различными свойствами АЧХ и  ФЧХ, что позволяет наилучшим образом учитывать заранее известные особенности синтезируемого фильтра.

Из всех известных структурных схем КИХ-фильтров на практике чаще всего используют прямую структуру на основе линии задержки с отводами, представленную на рисунке 4, что обусловлено простотой ее как аппаратной, так и программной реализации. Для такой структуры требуется один  буфер   объемом в  ячеек памяти, один умножитель, работающий в режиме мультиплексирования, и один накапливающий сумматор. Именно эту структуру можно реализовать в виде программы на языке ассемблера сигнального процессора, например, ТМS320С50.

 

                                                                                                                                                                                                                     

 

 

 

 

 

 

 

 

 

 

Рисунок 4

 

            Учет свойств симметрии коэффициентов КИХ-фильтров с линейной ФЧХ позволяет легко преобразовать рассмотренную структуру в эквивалентную, как показано на рисунке 5.


Представленные структуры (для Nчетное и N нечетное), как видно из рисунка 5, требуют практически в 2 раза меньше умножений (умножителей) и поэтому обладают меньшим собственным шумом.

КИХ-фильтр с линейной ФЧХ типа 3 или 4, имеющий постоянный сдвиг фазы на , можно использовать для создания цифрового преобразователя Гильберта (ЦПГ), который в результате демодуляции однополостного сигнала, позволяет получить огибающую низкочастотного узкополостного сигнала , определяемую по формуле

 

                                                                                (4.2)

 

где  - мнимый сигнал;

- вещественный сигнал.

На рисунке 6 показана структурная схема вычисления огибающей  сигнала . Согласующая линия задержки (СЛЗ) обеспечивает временнóе согласование сигналов   и .


Из рисунка 6 видно, что СЛЗ и ЦПГ формируют пару сопряженных сигналов  и , сдвинутых по фазе на .


На базе КИХ-фильтров типа  4 можно реализовать широкополосный дифференциатор, рабочая область которого составляет всю основную полосу нормированных частот, как показано на рисунке 7,а.  

На рисунке 7,б показана реализация дифференциатора на базе КИХ-фильтров типа 3, АЧХ которых должна обращаться в нуль на частоте .

Обычно цифровые дифференциаторы применяются в системах управления, где требуется линейное изменение коэффициента управления в зависимости от частоты воздействия.

Лекция №5. Дискретное преобразование Фурье

 

Содержание лекции: спектральный анализ, прямое и обратное дискретные преобразования Фурье (ДПФ), базовая операция быстрого ДПФ, алгоритмы прореживания по времени и частоте.

 Цель лекции:  изучить методы оценки компонентов спектра с помошью Фурье-анализа на базе применения прямого и обратного дискретных преобразований Фурье, а также алгоритмы быстрого ДПФ с прореживанем по времени и частоте, уметь оценивать их вычислительные возможности.

 В ряде задач цифровой обработки необходимо оценить параметры спектра сигнала, то есть выполнить спектральный анализ. Задача спектрального анализа может носить как самостоятельный характер, например, в сейсмологии для определения типа сейсмического события или в геофизике для поиска месторождений, так и вспомогательный, например, в системах компрессии речи и изображений, компенсации помех и фильтрации.

Исходными данными для обработки являются   отсчетов сигнала  , то есть конечная дискретная (цифровая) последовательность как функция времени. Для исследования частотного состава этой последовательности ее нужно преобразовать, используя Фурье-анализ. С аналитической точки зрения Фурье-анализ позволяет установить связь между сигналом во временной области и его спектром в частотной области. При этом вычисляются компоненты спектра на основе дискретного преобразования Фурье (ДПФ).

ДПФ – это пара взаимно однозначных преобразований, которые в компактном виде выглядят следующим образом:

 

1)     прямое,                             (5.1)

2)     обратное                       (5.2)

где - длина исходной последовательности;

- количество частотных отсчетов;

- количество временных отсчетов;

- поворачивающий множитель ( весовая, периодическая функция), получивший свое название потому, что аргумент экспоненты отображает угол поворота на единичной окружности комплексной z-плоскости.

Используя свойство периодичности поворачивающего множителя  можно уменьшить количество арифметических операций для вычисления ДПФ. Существует целый набор алгоритмов для быстрого ДПФ: с основанием 2, с основанием 4, Виноградова и другие.

Наибольшее распространение получили алгоритмы с основанием 2, для реализации которых длина N исходной последовательности должна быть кратна 2, т.е. , где - целое положительное число.

Первый алгоритм был опубликован в 1965 году в США и назван по имени его создателей Кули-Тьюки. Существуют две версии этого алгоритма:

1)                с прореживанием по времени, при реализации которого требуется перестановка (прореживание) отсчетов  входной последовательности;

2)                с прореживанием по частоте, при реализации которого требуется перестановка (прореживание) отсчетов  выходной последовательности.

Суть первой версии в том, что N-точечное ДПФ разбивают на этапы, количество которых определяется как 

На первом этапе определяются N/2 2-х точечные ДПФ, одна из которых содержит отсчеты с нечетными номерами, а другая – с четными. Тогда, сумму, представленную в формуле (5.1), можно разбить на две

 

        ,                        (5.3)

 

где ;

X(2n) и X(2n+1)N/2 - точечные последовательности четных и нечетных отсчетов соответственно.

Поскольку  получаем

       .   (5.4)

Учитывая, что  периодично с периодом точек, получаем

         ,    (5.5)

где .

Тогда ДПФ исходной последовательности  преобразуется в два - точечного ДПФ:

                    (5.6)

 

Представленные соотношения описывают базовую операцию быстрого преобразования Фурье (БПФ), которая называется «бабочка». Графически эту операцию можно представить в виде направленного графа который показан на рисунке 8.

                                                             

 

 

 


                                                       

                                                              

                                                               

Рисунок 8

 

Направленный граф, представленный на рисунке 8 - это структура алгоритма для 2-х точечного ДПФ, при  этом . На втором этапе определяются N/4 4-х точечные ДПФ, на третьем этапе – N/8 8-и точечные ДПФ и т.д.

 Перед первым этапом N-точечная последовательность должна подаваться не в естественном, а в двоично-инверсном порядке, что обеспечивает начальные условия алгоритма. В таблице 3 представлен двоично-инверсный порядок для N=8.

Т а б л и ц а 3

Естественный порядок

000

001

010

011

100

101

110

111

Двоично-инверсный порядок

000

(0)

100

(4)

010

(2)

110

(6)

001

(1)

101

(5)

011

(3)

111

(7)

 

 

 

На рисунке 10 показана схема формирования 8-точечного (N = 8) БПФ.

 

 

Рисунок 10

Как видно из рисунка 2 для формирования 8-точечного  БДПФ нужно ДПФ разбить на три этапа, так как  причем первый этап будет содержать четыре бабочки при , второй этап четыре бабочки при и , третий этап также четыре бабочки при , , , . На выходе алгоритма получается 8-точечное ДПФ, отсчеты которого следуют в естественном порядке.

Вычисления в алгоритме с прореживанием по времени можно выполнить по способу с замещением. Входная последовательность располагается в массиве из N ячеек. После вычислений на первой ступени, отсчеты входного сигнала становятся не нужными и в указанные ячейки могут быть записаны выходные данные «бабочек». На следующей ступени вновь вычисляемые значения выходов «бабочек» записываются в исходный массив и т.д. В конце вычислений в исходном массиве оказываются значения компонент спектра X(k), расположенные в естественном порядке следования их номеров, то есть значения ДПФN при k = 0,1,2,…, N-1.

Реализация алгоритма БПФ с прореживанием по частоте также выполняется по этапам, но в обратном направлении, то есть в сторону вдвое большей размерности ( … 8 → 4 → 2 ). При этом перед первым этапом отсчеты N-точечной последовательности не переставляются, сохраняя естественный порядок номеров ( n = 0,1,…,N-1). Однако, на выходе алгоритма получается ДПФ, отсчеты которого следуют в двоично-инверсном порядке двоичных номеров.

На практике алгоритм БПФ с прореживанием по частоте применяют реже, чем с прореживанием по времени, так как последний обеспечивает естественный порядок следования отсчетов ДПФ на выходе.

Порядок вычислительной сложности алгоритма БПФ оценивается как , в то время как при прямом вычислении ДПФ он равен . В таблице 4 наглядно представлена оценка получаемого выигрыша в объеме вычислений в зависимости от длины N исходной последовательности.

Т а б л и ц а 4

 

 

Оценка вычислительной сложности

Оценка выигрыша

Прямое вычисление ДПФ

Вычисление с помощью БПФ

8

64

24

2,7

16

256

64

4,0

32

1024

160

6,4

64

4096

384

10,7

128

16384

896

18,3

256

65536

1024

32,0

512

262144

4096

56,9

1024

1048576

10240

102,4

Лекция №6. Квантование в цифровых системах

 

Содержание лекции: способы квантования чисел, источники и свойства ошибок квантования, шум квантования входного сигнала, собственный и полный выходной шум системы, шумовые характеристики.

 Цель лекции:  изучить способы квантования чисел, уметь оценивать шум квантования входного сигнала, собственный и полный шум цифровой системы и ее характеристики.

В цифровых системах обработки сигналов отсчеты обрабатываемого сигнала ,, коэффициенты разностного уравнения или передаточных функций, а также  результаты выполнения арифметических операций в сумматорах и умножителях представляются b-разрядными двоичными числами в соответствии с разрядностью регистров, ячеек памяти и операционных устройств. Такое приближенное представление чисел называют квантованием. Квантование – нелинейная операция, поэтому при ее введении в алгоритм обработки сигнала, который описывается линейным дискретным уравнением, возникают ошибки в реализации алгоритма. Такие ошибки называются ошибками квантования.

Квантование числа – это его представление с помощью конечного количества (b) значащих разрядов. С учетом знакового разряда разрядность числа определяется как . Нелинейность операции квантования вносит в представление квантуемого числа А ошибку

 

                                               ,                                  (6.1)

где А – двоичное число до квантования;

F(A) – число после квантования.

Шагом квантования называется расстояние между двумя соседними уровнями квантования, причем принимается, что  Шаг квантования определяется весом младшего значащего разряда:  .

В цифровой обработке рассматриваются только числа, по модулю не превосходящие единицу

                                                                    (6.2)

При квантовании используются два способа приближения чисел: округление и усечение. В случае округления выбирается ближайший уровень квантования, при этом исходное k-разрядное число ( k > b ) заменяется до ближайшего b-разрядного и ошибка округления не превосходит половины шага квантования

                                                                                   (6.3)              

Обычно при анализе делается допущение, что все возможные значения ошибки в пределах диапазона (6.3) равновероятны, то есть ошибка квантования представляет собой случайную величину с равномерной плотностью распределения, как показано на рисунке 10.

                                                                    

 


                                         

                                                                    

Рисунок 10

При усечении k-разрядного числа до b значащих разрядов (k > b) младшие (k - b) разряды исходного числа отбрасываются. Ошибка усечения меньше шага квантования, но больше ошибки округления

                                          <                                          (6.4)  

В связи с этим в аналого-цифровых преобразователях и в цифровых процессорах обработки сигналов обычно применяется округление.

Источниками ошибок квантования являются:

а)  аналого-цифровое преобразование (АЦП), при котором квантуются дискретные сигналы;

б)  арифметические операции с цифровым сигналом ;

в)   квантование коэффициентов цифровой системы.

В целях упрощения анализа эффектов квантования сигналов в цифровых системах для сигнала ошибки квантования вводят следующие принципиальные допущения:

-  последовательность является стационарным и эргодическим дискретным случайным процессом;

-  распределение вероятности ошибок является равномерным по диапазону ошибок квантования, как показано на рисунке 10;

-  любые два отсчета последовательности не коррелированы, то есть последовательность является случайным процессом типа «белый шум»;

-   последовательность  не коррелирована с квантуемой последовательностью.

Вместо термина «ошибка квантования» используется термин «шум квантования», отражающий указанные выше допущения.                                                           

Линейные модели процессов аналого-цифрового преобразования и умножения (с конечным числом разрядов) и аддитивным шумом  идентичны, их свойства описываются одинаковыми выражениями для математического ожидания и дисперсии ошибок квантования, поэтому

      ;           ,            (6.5)

где и - шумы АЦП и умножителя соответственно.

Для оценки влияния квантования входного сигнала (шум АЦП) на выходной шум цифровой цепи полагаем, что коэффициенты и арифметические операции реализуются точно. Выходной шум, как реакция дискретной цепи, имеющей импульсную характеристику   h(n), на входной шум  определяется по формуле
                            .                         (6.6)

Максимальные ошибки квантования входного (при округлении) и выходного сигнала определяются по формулам

             ,                         (6.7)

         .    (6.8)

Дисперсия составляющей выходного шума, обусловленной АЦП

                                              (6.9)

Шум на выходе цифровой цепи имеет еще одну составляющую, обусловленную квантованием результатов умножения (собственный шум).

Максимальная ошибка и дисперсия шума квантования на выходе цифровой цепи первого порядка определяются с учетом этой составляющей

(6.10)

(6.11)

где - максимальное значение ошибки составляющей выходного собственного шума;

- дисперсия составляющей выходного собственного шума;

h(k)- импульсная характеристика цепи первого порядка;

L - количество умножителей.

Максимальная ошибка квантования на выходе цифровой цепи второго порядка определяется как

                            ,                              (6.12)

где - импульсная характеристика от выхода i – го умножителя до выхода цепи.

Дисперсия выходного шума звена второго порядка для всех i = 1,2,…,L  определяется следующей формулой

 (6.13)   

 

где - импульсная характеристика цепи второго порядка.

 

Важными шумовыми характеристиками цифровой системы являются отношение сигнал/шум и ее динамический диапазон.

Отношение сигнал/шум – это отношение мощности выходного сигнала системы к мощности шума на выходе системы

 

                               [дБ].                       (6.14)

 

Динамический диапазон – это отношение максимальной амплитуды к минимальной амплитуде входного сигнала, при которой обеспечивается определенное отношение сигнала на выходе системы

 

                               [дБ],                             (6.15)

при 

                              [дБ],                        (6.16)

 

где - мощность выходного сигнала на нижней границе динамического диапазона (при амплитуде входного сигнала ).

Динамический диапазон следует контролировать введением коэффициентов масштабирования.

Лекция №7. Архитектурные особенности цифровых сигнальных процессоров

 

Содержание лекции: назначение и типы сигнальных процессоров, особенности их архитектуры , методы параллельной обработки.

 Цель лекции: изучить типы цифровых сигнальных процессоров, научиться понимать и правильно использовать ключевые архитектурные особенности, а также современные методы параллельной обработки сигналов.

Сигнальные процессоры (СП) – это устройства, предназначенные для формирования, преобразования и обработки сигналов информационных и связных систем и сетей в реальном времени. Главная их особенность – обработка больших массивов данных. По виду обрабатываемых сигналов и элементной базе СП делятся на аналоговые и цифровые.

Цифровые сигнальные процессоры (ЦСП) могут выполняться в виде специализированных (непрограммируемых) сверхбольших интегральных схем (СБИС) или как универсальные СБИС. К специализированным процессорам относятся, например, процессор быстрого преобразования Фурье (БПФ), синтезатор частот, кодер и т.д.  К универсальным процессорам относятся:

1)     логические схемы (ПЛИС – программируемые логические интегральные схемы);

2)     программируемые цифровые сигнальные процессоры иностранная аббревиатура которых DSP ( Digital Signal Processor); в дальнейшем изложении термин «программируемые» для краткости будет опущен;

3)     транспьютеры.

Преимуществом универсальных процессоров перед специализированными является возможность реализации на них разнообразных устройств при относительно невысокой цене кристалла.

К ЦСП по архитектуре и производительности наиболее близки транспьютеры, позволяющие производить обработку больших информационных массивов. Транспьютер имеет процессор с архитектурой RISC ( Reduced Instruction Set Computer), т.е. ядро с сокращенным набором команд, быстродействием более миллиона команд в секунду (MIPS) и аппаратными средствами, обеспечивающими параллельные вычисления. Транспьютер содержит четыре высокоскоростных канала связи, которые служат для обмена сообщениями с другими транспьютерами. Эти четыре канала позволяют соединять транспьютеры между собой, организуя различные транспьютерные сети со сложными соединениями. На основе транспьютеров строятся распределенные системы.

ПЛИС проще и дешевле ЦСП и транспьютеров, но требуют много периферийных устройств и устройств ввода-вывода. Производительность их меньше, чем у ЦСП.

Наибольшее распространение получили программируемые ЦСП, которые явились результатом развития микропроцессоров, но отличаются от них архитектурой, приспособленной для решения задач цифровой обработки сигналов. Основные требования, предъявляемые к ЦСП:

1)     быстрое выполнение арифметических операций (высокое быстродействие);

2)     высокая производительность при реализации алгоритмов с большим объемом вычислений в реальном времени ( период дискретизации больше времени обработки алгоритма).

Эти требования выполняются, благодаря использованию следующих архитектурных особенностей ЦСП:

1)     применение гарвардской архитектуры;

2)     использование конвейерного режима работы;

3)     наличие специального устройства умножения;

4)     введение спецкоманд;

5)     организация короткого командного цикла.

Гарвардская архитектура позволяет поднять быстродействие и гибкость использования. В классическом варианте она предполагает хранение программ и данных в разных запоминающих устройствах, что позволяет совмещать во времени выборку и исполнение команд. Модифицированная гарвардская архитектура допускает обмен между памятью программ и памятью данных, что расширяет возможности процессора, при этом отпадает необходимость в отдельном постоянном запоминающем устройстве (ПЗУ) для хранения коэффициентов. Наличие шины данных (ШД) и шины команд (ШК) увеличивает общую производительность.

Персональные ЭВМ и традиционные микропроцессоры имеют неймановскую архитектуру, при  которой происходит пошаговое, потактно-последовательное выполнение команд, при этом данные и команды передаются по одной шине.

Примеры гарвардской и неймановской архитектур представлены на рисунке 11.

 

 

 

 

 

 

 Рисунок 11

 

Конвейерный режим используется для сокращения длительности командного цикла (времени выполнения команды) и повышения производительности ЦСП. В зависимости от типа ЦСП конвейер может состоять от 2-х до 11-ти этапов Это означает, что 2-х каскадный конвейер одновременно может обрабатывать две команды, а 11-и каскадный – одиннадцать команд. На рисунке 12 показан пример 3-х каскадного конвейерного режима, где предварительную выборку, дешифрирование и исполнение команды можно осуществлять независимым образом. В каждом командном цикле задействованы три разные команды.

 

 

 

 


                                              N                    N+1                   N+2

 

                             

Рисунок 12

 

Из рисунка 12 видно, что в ходе N-го цикла процессор может одновременно извлекать из памяти N-ю команду, декодировать (N - 1)-ю команду и в то же время выполнять (N - 2)-ю команду.  

Основными численными операциями в цифровой обработке сигналов являются умножение и сложение. Операция умножения в программной форме достаточно трудоемкая операция, поэтому она реализуется в ЦСП с плавающей или фиксированной запятой аппаратно на специальном устройстве, которое называется аппаратным умножителем-накопителем. Аппаратный умножитель позволяет выполнять операцию умножения за один командный цикл. Например, в процессоре с фиксированной запятой такой умножитель за один такт (обычно 25 нс) принимает два 16-битовых дробных числа, представленных в форме дополнения до двух, и вычисляет их 32-битное произведение.  На рисунке 13 изображена типичная конфигурация умножителя-накопителя.

 

 

 

Рисунок 13

Из рисунка 13 видно, что умножитель имеет пару входных регистров X и Y, которые содержат входы умножителя, и 32-битовый регистр произведения Р (product – произведение), который содержит результат умножения. Выход регистра Р соединяется с накопителем двойной точности, который состоит из сумматора ( ∑ ) и регистра-аккумулятора (Acc), в котором накапливаются произведения._

 За счет применения спецкоманд число командных циклов можно резко сократить. Например команда LTD позволяет выполнить за один цикл три команды: загрузки (LT), задержки (DMOV) и сложения (APAC). В современных ЦСП есть команда MACD, которая позволяет за один командный цикл выполнить четыре команды: загрузки (LT), задержки (DMOV), сложения (APAC) и умножения (MPY).

В настоящее  время ЦСП имеют длительность командного цикла < 200 нс. В таблице 5 представлены временные циклы некоторых ЦСП, выпускаемых американской фирмой Texas Instruments серии TMS320.

                  Т а б л и ц а 5

Процессор

Время цикла, нс

TMS320C10

200

TMS320C25

125

TMS320C30

75

TMS320C541

25

TMS320C67*

6

TMS320C6202

4

 

 

 

 

 

 

 

 

 

Малость командного цикла делает ЦСП удобным средством решения многих прикладных задач. Если в системах управления не требуется высокой скорости обработки (частота дискретизации примерно 1 кГц), то для задач с высокой частотой дискретизации порядка 14 – 100 мГц ( в системах обработки телевизионных изображений), пригодны ЦСП последних поколений, обладающие малым командным циклом, чтобы обеспечить обработку в реальном времени.

Для повышения вычислительной эффективности ЦСП используются следующие их новейшие архитектуры:

 1) SIMD (single instruction, multiple data – одна команда, много данных); в процессорах с такой архитектурой имеется несколько трактов передачи данных и операционных блоков, поэтому команда может передаваться нескольким операционным блокам для обработки блоков данных одновременно, увеличивая число операций, выполняемых за один такт;

2)   VLIW (very large instruction word – командные слова сверхбольшой длины); эти слова состоят из нескольких коротких команд, для выполнения которых за один такт требуется несколько операционных блоков, функционирующих параллельно;

3) суперскалярная обработка позволяет выполнять несколько команд за один такт при использовании параллелизма на уровне команд.

Лекция №8. Цифровые сигнальные процессоры с фиксированной запятой

 

Содержание лекции: четыре поколения процессоров цифровой обработки сигналов с фиксированной запятой фирмы Texas Instruments, особенности их архитектуры, применение в различных приложениях.

 Цель лекции: изучить особенности архитектуры четырех поколений цифровых сигнальных процессоров с фиксированной запятой, оценить их возможности в различных областях применения.

В современных цифровых сигнальных процессорах (ЦСП) арифметические операции часто выполняются с использованием арифметики  с фиксированной или плавающей запятой. Иногда используется блочная арифметика с плавающей запятой, которая в  объединяет преимущества двух арифметик, названных выше.

В цифровой обработке сигналов (ЦОС) более распространена арифметика с фиксированной запятой, так как ей сопутствует быстрая и недорогая реализация, например, при использовании ЦСП для массового производства в сотовых телефонах и компьютерных дисководах. Однако, при такой форме представления чисел их диапазон ограничен, а итоговая система подвержена проблемам переполнения, поэтому необходимо вводить масштабирование обрабатываемых данных.

Процессоры с плавающей запятой работают в более широком динамическом диапазоне при более точном представлении данных, но архитектура ЦСП с плавающей точкой значительно сложнее, вследствие чего они, как правило, имеют большие размеры кристалла и стоимость.

В дальнейшем будет рассматриваться архитектура четырех поколений типовых цифровых сигнальных процессоров с фиксированной запятой семейства TMS320, производимых фирмой Texas Instruments. Такое деление процессоров на четыре поколения основано на исторических причинах, архитектурных особенностях и вычислительной эффективности.

К первому поколению ЦСП относится семейство TMS320C1x. Характерным представителем этого семейства является 16-разрядный процессор TMS320C10, который  способен выполнять 5 млн.операций в секунду при длительности командного цикла не более 200 нс.  В процессоре реализована арифметика с фиксированной запятой в двоичном дополнительном коде. Процессор адресует восемь 16-разрядных портов ввода и восемь 16-разрядных портов вывода данных [ 7 ].

Для достижения высокого быстродействия и гибкости в основу процессора положена модифицированная гарвардская архитектура, что позволяет не только полностью совмещать во времени процессы выборки и выполнения команд, но и осуществлять передачи между программной памятью  и памятью данных.

Память программ реализуется на масочном постоянном запоминающем устройстве (ПЗУ) объемом 1536×16 разрядов и может размещаться как внутри кристалла (процессор TMS320C10), так и вне его (процессор TMS32010). Имеется возможность расширения внешней памяти до общего объема 4096×16 разрядов с сохранением максимального быстродействия.

На рисунке 14 представлена структурная схема операционного блока процессора TMS320C10.

 

 

Рисунок 14

 

Из рисунка 14 видно, что процессор содержит память данных, представляющую   собой оперативное запоминающее устройство (ОЗУ) объемом 288 байт и четыре основных арифметических узла: арифметико-логическое устройство (АЛУ), аккумулятор, умножитель и устройство сдвига.

АЛУ предназначено для выполнения операций сложения, вычитания и логических операций над 32-разрядными словами. Один из операндов берется из аккумулятора, второй поступает либо из регистра (Р) произведения умножителя, либо с внутренней шины данных с возможностью последовательного сдвига влево на 0-15 разрядов. Результат операции заносится в аккумулятор. Параллельное сдвигающее устройство (сдвигатель (0,1,4) используется при записи старших разрядов аккумулятора в память данных для масштабирования разультата произведения.

Через мультиплексор (MS) процессор может использовать один из двух способов адресации: прямой или косвенный. При первом способе адрес ячейки памяти формируется из семи младших разрядов слова команды и одного разряда, выдаваемого указателем страницы (DP). При этом память данных делится на станицы по схеме, представленной на рисунке 15.

В режиме косвенной адресации в качестве адреса памяти данных используются восемь младших разрядов одного из вспомогательных регистров (ARO или AR1). Текущий вспомогательный регистр выбирается с помощью указателя вспомогательного регистра (ARP). Вспомогательные 16-разрядные регистры можно также использовать в качестве общих регистров для временного хранения данных или для организации циклов.                                           

 

                                               Номера ячеек памяти

Страница 0 (DP = 0)                      0 - 127

Страница 1 (DP = 1)                      128 – 144

 

Рисунок 15

 

В состав блока управления процессором (на рисунке не показан) входят устройство управления, программный счетчик и стековая память с глубиной вложения не более четырех. Программный счетчик и стек предоставляют пользователю организовать переходы, вызовы подпрограмм и прерывания.

Набор команд процессора позволяет реализовать различные алгоритмы ЦОС, а также производить действия над отдельными битами. Система команд включает 60 команд, большинство из которых являются одноцикловыми. Команды состоят из шести групп: работа с аккумулятором, с индексными регистрами и регистрами-указателями, с блоком умножения, для организации управления и ветвлений, ввода-вывода и обращений к памяти [ 4 ].

Типичным представителем процессоров ЦОС второго поколения является семейство TMS320C5х со временем цикла не более 25 нс. Процессоры ЦОС первого и второго поколений совместимы по системе команд и имеют много общего архитектурно, однако процессоры второго поколения обладают большими функциональными возможностями и меньшим энергопотреблением. Кроме того, они имеют больший объем встроенной памяти (у процессора TMS320C50 объем двойного ОЗУ составляет 20 Кбайт, а ПЗУ – 4 Кбайт) и много специальных команд для поддержки эффективного выполнения алгоритмов ЦОС. Например, в число специальных команд входит команда умножения и накопления со сдвигом данных (MACD), которая при объединении ее с командой повтора (RPT) позволяет реализовать КИХ-фильтр со значительной экономией времени. Возможность адресации с инвертированием битов полезна при быстром преобразовании Фурье (БПФ). В результате вычислительная производительность процессоров второго поколения в 4-6 раз выше производительности процессоров первого поколения.

Процессоры ЦОС с фиксированной запятой третьего поколения , которые характеризуются семейством TMS320C54х, являются улучшением процессоров предыдущего поколения в сторону увеличения их производительности (66 млн. команд в секунду) за счет более эффективного использования встроенных ресурсов. По сравнению с процессорами второго поколения, процессоры третьего поколения отличаются тремя внутренними шинами данных и одной шиной программ. Такая внутренняя организация процессора позволяет ему обеспечить высокую степень параллельности выполнения команд. Этому семейству свойственна высокоспециализированная система команд и увеличенный объем внутренней памяти.

На рисунке 16 показана упрощенная архитектура процессора ЦОС с фиксированной запятой третьего поколения семейства TMS320C54х.

 

 

 

 

 

 

 

 

 

 

 

 

 


Рисунок 16

 

Большинство ЦСП с фиксированной запятой третьего поколения применяют в цифровой связи и цифровом аудио. За счет использования специальных команд такие процессоры можно применять для реализации алгоритмов адаптивной фильтрации (эхоподавление и адаптивное выравнивания в сфере телекоммуникаций) и для поддержки декодирования Витерби. Кроме того, эти процессоры имеют низкий расход энергии.

Процессоры ЦОС с фиксированной запятой четвертого поколения (семейство TMS320C62х) основаны на архитектуре VLIW.

Процессор ядра имеет два независимых арифметических тракта, в каждом из которых четыре операционных блока: логическое устройство, схема сдвига/логическое устройство, умножитель и устройство адреса данных. Обычно процессор извлекает из памяти восемь 32-битовых команд за один раз, поэтому длина слова получается равной 256 бит (сверхбольшая длина). При наличии в сумме восьми операционных блоков, по четыре в каждом тракте, процессор может выполнять до восьми команд параллельно в одном такте. Кроме значительной программной памяти процессор имеет двухуровневую кэш-память: 4 Кбайт уровня 1 и 64 Кбайт уровня 2.

Преимущества архитектуры VLIW – это простота и значительная вычислительная эффективность. Высокая производительность процессоров семейства TMS320C62х (1,6  млрд.операций в секунду) и наличие широкого набора инструментальных средств позволяют использовать их для экономичного решения задач, требующих высокопроизводительной обработки сигналов. Области применения процессоров: медицинская диагностика, цифровые абонентские линии, модемы удаленного доступа и другие приложения [ 3 ].

 

Список литературы 

1. Солонина А.И. и др. Основы цифровой обработки сигналов: курс лекций. - СПб: БХВ - Петербург, 2005. - 768 с.

2.  Куприянов М.С., Матюшкин Б.Д. Цифровая обработка сигналов: процессоры, алгоритмы, средства проектирования. - СПб: Политехника,  1999.- 592 с.

3. Айфичер Эммануил, Джервис Барри. Цифровая обработка сигналов: практический подход. - М.: Издательский дом «Вильямс», 2004.- 992 с.

4 Смит, Стивен. Цифровая обработка сигналов. Практическое руководство для инженеров и научных работников. - М.: Додэка-ХХI, 2008. – 720 с.

5. Сперанский В.С. Цифровые сигнальные процессоры и их применение для формирования и обработки сигналов: учебное пособие. – М.: МТУСИ, 1997. – 92 с.

6. Солонина А.И. и др. Алгоритмы и процессоры цифровой обработки сигналов - СПб: БХВ - Петербург, 2001. - 464 с.

7. Корнеев В.В., Киселев А.В. Современные микропроцессоры. – М.: НОЛИДЖ, 1998. – 240 с.

8. Гольденберг Л.М. и др. Цифровые устройства и микропроцессорные системы. Задачи и упражнения: учебное пособие. - М.: Радио и связь,  1992. -256 с.

9. А.Оппенгейм, Р.Шафер. Цифровая обработка сигналов. М.: Техносфера, 2006. – 856 с.

10. Петрищенко С.Н. Цифровая обработка сигналов: конспект лекций  для магистрантов специальности 6N0719 – Радиотехника, электроника и телекоммуникации. - Алматы: АИЭС, 2009. –  36 с.

11. Петрищенко С.Н., Мусапирова Г.Д. Цифровая обработка сигналов. Методические указания к выполнению лабораторных работ для магистрантов специальности 6N0719 – Радиотехника, электроника и телекоммуникации. – Алматы: АИЭС, 2009. – 51 с.

 

Содержание 

1 Лекция №1 ……………………………………………………………….. 3

2 Лекция №1 ……………………………………………………………….. 7

3 Лекция №1 ……………………………………………………………… 11

4 Лекция №1 ……………………………………………………………… 15

5 Лекция №1 ……………………………………………………………… 19

6 Лекция №1 ……………………………………………………………… 23

7 Лекция №1 ……………………………………………………………… 27

8 Лекция №1 ……………………………………………………………… 31

   Список литературы ……………………………………………………. 35