Коммерциялық емес акционерлік қоғамы

АЛМАТЫ ЭНЕРГЕТИКА ЖӘНЕ БАЙЛАНЫС УНИВЕРСИТЕТІ

«Электроника» кафедрасы

  

 

 

 

СХЕМОТЕХНИКА

Дәрістер жиынтығы

 5В070400 – Есептеу техникасы және бағдарламалық қамтамасыз ету

мамандығының студенттеріне арналған

  

 

 

Алматы 2011

ҚҰРАСТЫРУШЫЛАР: А.Т. Ибраев, А.Б. Нусибалиева.   Схемотехника. 5В070400 – Есептеу техникасы және бағдарламалық қамтамасыз ету  мамандығының студенттеріне арналған «Схематехника» пәні бойынша дәрістер жиынтығы 

Дәрістер жиынтығында сандық электроника және микропроцессорлық техника негіздерін – заманауи әлемде ерекше орын алатын ғылым мен техниканың қарқынды дамып келе жатқан аймағын қарастырады. Материал жиынтығы студенттің қазіргі заманғы модельдеу және жобалау жүйелерін  өздігінен және жүйелі түрде зерттеуге қажетті көлемде қамтамасыз етілген. Дәрістік материал екі бөлімнен тұрады: сандық сызбатехника және микропроцессорлық ҮИЖ.

Бірінші бөлімде комбинациялық тізбекті типті сандық құрылғылар, есте сақтау құрылғылары және прграммалық логикалы матрицалар қарастырылған. Екінші бөлім i8085/8086микропроцессорларының құрылымына, командаларға, сонымен қатар микропроцессорлық жүйенің адаптерлары мен контроллерларына арналған. 

          Дәріс жиынтығы сандық құрылғылар мен микропроцессорлық жүйелерді құру негіздерін бекіту мақсатында құрастырылған және 5В070400 – Есептеу техникасы және программалық қамтамасыз ету мамандығының студенттеріне арналған.

 

Без. 84, кестелер 24, әдеб.көрсеткіші – 6 атау. 

 

Пікір беруші: техн.ғыл.д-ры., проф. Ш.А. Бахтаев                         

 

«Алматы энергетика және байланыс университеті» коммерциялық емес акционерлік қоғамының 2011 ж баспа жоспарына сәйкес басылады.

 

 

© «Алматы энергетика және байланыс университеті» КЕАҚ, 2011 ж. 

 

Кіріспе  

Заманауи қоғамның қажеттіліктерінің тез дамуы, экономиканың әртүрлі саласында кең көлемде қолданылатын Hi-Tec (High Technogy) деп аталатын  жаңа технологияны талап етуде.

Информатика саласының ірі маманы, академик Е.П.Велихов бір мақаласында «Кімде-кім компьютер құрай білсе, ол әлемді бағындырады» деп өзінің ойын білдірген.  

Сандық техникалардың барлық әртүрлі құралдары: дербес компьютерлер, өлшеудің микропроцессорлық жүйелері мен технологиялық процессорлардың автоматизациясы, цифрлық байланыс, теледидар, тұрмыстық техника және т.б. құрамына әртүрлі қиын микросхемалардан тұратын біртұтас элементті базадан - қарапайым операциялардан бастап миллион логикалық элементтерден тұратын қиын бағдарламалық кристалдарды орындайтын логикалық элементтерден  құрылады.

Микропроцессорлар мен бағдарламалық құрылымды үлкен интегралдық схемалардың (ҮИС) (БИС),  пайда болуынан автоматика құралдарын жасауға және жобалау әдістеріне сапалы өзгерістер болды.  

Микропроцессор – бұл бір немесе бірнеше үлкен интегралды схемалар (ҮИС) (БИС)  түрінде жүзеге асырылған функционалды процессор.

Микропроцессор өзінің жүйесіне кіретін командаларды орындауға қабілетті.  Командалардың тізбектелуін өзгерте отырып, бір микропроцессорда  әртүрлі есептерді шешуге болады.

Микропроцессорлы топтама – белгілі бір командалар топтамасы көмегімен жұмысты басқару мүмкіндігіне ие болатын ҮИС жиынтығы.

Микропроцессорлы жүйе өзінің құрылымы бойынша  дербес компьютерге ұқсас, бірақта, ішкі әлеммен беттесу құралдарының жиынтығы  және жадысының көлемі шектеулі. Микропроцессорлық жүйені құрудың негізінде бағдарламалық-аппараттық принцип жатыр. Бір стандартты микропроцессорлық жүйенің бағдарламасын ауыстыра отырып, оны көптеген құрылғылар мен жүйелерде  қолдануға болады: техникалық процестегі автоматты басқаруда, техникалық диагностикалар жүйелерінде және күзету жүйелерін қосу арқылы объектілердің жағдайын бақылауда.

Бағдарламаланатын құрылымды СҮИС-тің  негізгі ерекшелігі микросхема құрылымын өзгерте отырып, бағдарламалы түрде әртүрлі блоктарды қоса алуында.

Микропроцессорлар мен СҮИС-ті оқып үйренбес бұрын, микропроцессор құрамындағы маңызды цифрлық элементтермен және құрылғылармен танысуымыз керек.

 

1 Дәріс. Цифрлық құрылғының логикалық элементтері

 

Цифрлық ақпараттарды түрлендіру 0 және 1 логикалық айнымалылары негізінде логикалық ЖӘНЕ, НЕМЕСЕ, ЕМЕС элементтері арқылы жүзеге асады. Логикалық элементтердің шартты белгілері  - орындалатын операция таңбасы қойылған тіктөртбұрыш, (терістеу операциясының белгісі дөңгелек түрінде болады).

 

1.1 суретЛогикалық элементтердің шартты белгілері

 

Схемаларда 0 және 1 айнымалылары әртүрлі екі кернеу арқылы кескінделеді: Uo және U1 . Кернеудің екі деңгейінің қайсысы Uo үшін, қайсысы U1 үшін қабылдау керектігін келісу керек. Оң және теріс логикалар деген ұғым бар. Оң логикада  U1 > Uo, ал теріс логикада  U1 < Uо. Ары қарай, егер қателеспесек, оң логиканы қолданамыз.  

Бір түрлі логикалық айнымалыларды түрлендіру әртүрлі формаларда: ЖӘНЕ, НЕМЕСЕ, ЕМЕС операцияларының көмегімен  (буль базисі),  ЖӘНЕ-ЕМЕС операциялары (Шеффер базисі), НЕМЕСЕ-ЕМЕС операциялары (Пирс  базисі), сондай-ақ басқа да көптеген әдістермен беруге болады. Көбіне Пирс және Шеффер базистері кездеседі.  

    

1.1 Логикалық элементтердің статикалық параметрлері

 

Статикалық параметрлер дегеніміз логикалық элементтердің (ЛЭ)  кірісі мен шығысында кескінделетін айнымалылар (0 және 1) шекарасында кескінделетін кернеу мәндері:

1) кірісі бойынша  Uкір1min және Uкір0mах.;

2) шығысы бойынша Uшығ1min және Uшығ0max

және ток мәндері:

3) кірісі бойынша Iкір1max және Iкір0mах;

4) шығысы бойынша Iшығ1mах және Iшығ0mах.

Элементтер дұрыс жұмыс жасау үшін логикалық 1 кескіндейтін кернеу мейлінше жоғары, ал логикалық 0 кескіндейтін кернеу төмен болуы талап етіледі. Бұл талаптар  Uкір1min және Uкір0mах. параметрлеріне қойылады. Осы элементтің кіріс кернеуі алдыңғы элементтің шығыс кернеуі (сигналдар көзі). Жүктемелік шарттарды орындағанда сақталатын элемент шығысындағы элементтер деңгейі Uшығ1min және Uшығ0max параметрлері арқылы беріледі. Шығыс кернеуінің жоғарғы деңгейінде элемент қорек көзінен ток ағып, жүктеме тізбегі токты сіңіреді. Элемент қорек көзінің шығыс кернеуінің төменгі деңгейінде ток осы элементке құйылып, ал қабылдағыш элементтерінің кіріс тізбегінен токтар ағады.


Сигналдың қорек көзі элементтерінің мүмкіндіктерін сипаттайтын  Iшығ1mах және Iшығ0mах токтарын, қабылдағыш элементтеріне қолданылатын Iкір1max және Iкір0mах токтарын біле отырып, схеманың барлық элементтері үшін жүктемелік шектелуді сақтауды бақылауға болады.

Логикалық элементтердің тезәсерлігі олардың бір күйден екінші күйге ауысу жылдамдығымен анықталады. 1.2 суретте ЕМЕС логикалық элементінің жұмысының уақыттық диаграммасы көрсетілген. Логикалық сигналдың өзгеру уақыты  олардың Uтаб, табалдырық деңгейіне жету уақыты болып саналады, ол үшін сигналдың логикалық төмендеуінің ортасын алады 0,5(Uо + U1).

1.2 суретЕМЕС логикалық элементі жұмысының уақыттық диаграммасы

 

ЦҚ тезәсерлігіне, сондай-ақ қайта зарядтауға уақыт  жұмсауды талап ететін сыйымдылықта әсер етеді. Анықтамалық мәліметтерде схема түйіндерінде жүктеме сыйымдылығын есептеуге мүмкіндік беретін логикалық элементтердің кіріс және шығыс сыйымдылықтары келтірілген.

 

1.2 ЦЭ шығыстарының типтері  

 

Цифрлық  элементтердің (логикалық, есте сақтау, буферлі) шығыстарының келесі типтері бар:

- логикалық;

- ашық коллекторлы (стоком);

- үшінші жағдайлы;

- ашық эммиттерлі (истоком).

 

1.2.1 Логикалық шығыс.

Логикалық шығыс кернеудің екі деңгейін қалыптастырады (Uо және U1). Логикалық шығыстың шығыс кедергісі жоғарғы тезәсерлік алу үшін және сыйымдылықты жүктемені қайта зарядтау үшін үлкен токтарды бөлшектеуге қабілетті. Шығыстың бұл түрі ішкі схемаларда қолданылады (дешифраторларда, мультиплексорларда, сумматорларда).

ТТЛ және КМОП элементтерінің логикалық шығыстарының схемалары екі тактілі каскадтарға ұқсас – оларда шығыс кернеудің екі фронты активті транзисторлар қатысуымен қалыптасады, олар шығысты ауыстырудың кез-келген бағытында аз шығыс кедергісін қамтамасыз ету үшін жұмыс жасайды.  (1.3  суретті қара).

 

1.3  сурет - Цифрлы элементтің шығыс тізбегінің схемасы  

 

Бұндай шығыстың ерекшелігі, оларды параллель жалғауға болмайтынында. Біріншіден, шығыстарды байланыстыратын нүктеде логикалық 1 қалыптасады, ал логикалық 0 қалыптасатын шығыста қалыпты нәтиже болмайды. Екіншіден, шығыс кедергінің шамасы аз болғандықтан, теңестіруші ток үлкен шамаға ие болуы мүмкін, ол шығыс тізбектің электрлік элементтерін істен шығаруға әкеп соғады.

 

1.2.2 Үш шығыс күйі бар элементтер. 

Үш шығыс күйі бар элементтері (ТС типті) 0 және 1   логикалық күйден басқа "ажыратылған" деген күйге ие, бұл кезде шығыс тізбек тогы өте аз болады. Бұл күйде элемент арнайы басқарылатын сигналға ауыстырылады, ол шығыс каскадындағы екі транзистордың (Т1 және Т2)  жабық болуын қамтамасыз етеді (1.3 суретті қара).  ТС типті элементімен сигналды басқару әдетте ОЕ (Output Enable) деп белгіленеді.  Рұқсат (ОЕ = 1) болғанда элементтер өзінің логикалық операцияларын орындайды, ал болмаса (ОЕ = 0), "ажыратылған" күйіне ауысады. ЦҚ–да басқарылмалы сигналдарды таратуда ТС типті буферлі элементтер кең қолданылады. Буферлер терістейтін және терістемейтін болуы мүмкін, ал ОЕ  сигналдары  — төрт типті буферлік каскадтарға келтіретін  Н-активті немесе  L-активті  болады (14 суретті қара). Элементтерде ТС типті шығыстар үшбұрышты белгілермен белгіленеді.

ТС типті шығыстарды уақыттың кез-келген моментінде олардың тек біреуі ғана активті болған кезде ғана параллель жалғауға болады. Бұл жағдайда ажыратылған шығыстар байланысқан нүктеде сигналдардың активті қалыптасуына кедергі жасамайды. Бұл мүмкіндік ТС типті элементтерді магистральды-модульді микропроцессорларда және бір байланыс желісінде ақпарат көздері кезектесіп қолданылатын жүйелерде қолдануға болады.

 

1.4  сурет - Үш күйлі буферлік каскадтардың типтері


1.2.3 Ашық коллекторлы шығыс.

Ашық коллекторлы элементтерде коллекторы микросхема ішіндегі тізбектің ешқайсысымен жалғанбаған жеке транзистормен аяқталатын шығыс тізбегі бар (1.5,а суретті қара). Транзистор элементтің схемасының алдыңғы бөлігінен басқарылады, ол қаныққан немесе жабық күйде болуы мүмкін.  Қаныққан күй логикалық 0 секілді, ал жабық логикалық 1 секілді жол салады. Транзистордың қанығуы шығыста Uо  (қанығудың ең аз кернеуі коллектор-эмиттер" Uкэқ) кернеуін қамтамасыз етеді. Кернеудің кез-келген деңгейінде транзистордың бекітілуі элемент шығысында болмайды, осы кезде шығыста  белгісіз "өзгермелі" мүмкіндікке ие, ол элемент схемасының ешқандай тізбегіне жалғанбаған.  Сол себепті, жоғарғы деңгейлі кернеуді қалыптастыру үшін элемент шығысында ашық коллекторда (ОК типті)  транзисторды бекіткен кезде, қорек көзіне қосылған ішкі кедергілерді (немесе басқа жүктемелерді) қосу талап етіледі. 

ОК типті бірнеше шығыстарды барлық шығыстарға ортақ Ucc - R ( 1.5, б суретті қара) тізбекке қосып, параллель жалғауға болады. Бұл кезде жалпы тізбекте элементтердің кезектесу жұмыс режимін алуға болады, ТС типті элементтер үшін бір элемент активті болса, қалғандарының шығыстары жабық болады. Егер де шығыстары қосылған элементтердің активті жұмыс істеуіне рұқсат етілсе, онда қосымша логикалық операцияларды алуға болады, оны монтажды логика операциясы деп атауға болады.

 

 1.5 сурет -  ЦЭ ашық коллекторлы шығыс тізбегінің (а)  және ЖӘНЕ операциясын жүзеге асыру (б) схемасы 

 

Монтажды логиканы жүзеге асырғанда ортақ шығыста жоғарғы кернеу тек барлық транзисторларды бекіткенде ғана пайда болады, тек олардың біреуінің ғана қанығуы шығыс кернеуін Uo = Uкэқ деңгейіне дейін төмендете алады. Шығыста логикалық бірлік алу үшін барлық шығыстардың жекелік күйлері талап етіледі: ЖӘНЕ операциясы орындалады. Әрбір элемент өздерінің кіріс айнымалыларымен Шеффер операциясын орындағандықтан, ортақ нәтиже келесідей болады

.

ОК элементтердің белгіленуінде таңбадан кейін астында сызығы бар ромб қойылады.


1.2.4 Ашық эммиттері бар шығыс

Ашық эмиттерлі шығыс ЭБЛ (эмиттерлі-байланысқан логика)  типті элементтер үшін сипатты.  Магистральда жұмыс істеу үшін бұндай элементтер қолданылмайды. Ашық эмиттерлі шығыстарды бір-бірімен біріктіру мүмкіндігі эмиттерлі резисторларды үлкен бір резисторға біріктірген кезде  "эмиттерлі дот" деп аталатын схемаға келтіреді (1.6  суретті қара), ол қосымша монтажды логикалық схемалар алу үшін қолданылады.   

1.6 сурет - "Эмиттерлі дот" схемасы

 

ЭБЛ элементтерінің біреуінде НЕМЕСЕ функциясы, ал басқасында НЕМЕСЕ-ЕМЕС функциясы іске асатын фазаға қарсы элементтері бар. Бірнеше элементтердің тура шығыстарын байланыстырып кеңейтілген НЕМЕСЕ алуға болады (байланыстыратын элементтердің кіріс айнымалылары біртұтас дизъюнкция қалыптастырады). Терістелген шығыстарды біріктіріп, кіріс айнымалылар терістелуіне қатысты ЖӘНЕ-НЕМЕСЕ операциясын алуға болады, бұл кезде  

.

Тура шығысты терістеумен байланыстырып, функцияны мына түрде алуға болады:

.

 

2 Дәріс. Комбинациялы типті ЦҚ

 

2.1 Жобалау сатылары

 

Функционалды тораптар комбинациялы және тізбектелген болып екіге бөлінеді. Ары қарай комбинациялық торапты КТ (комбинациялы тізбек) арқылы, ал тізбектелгенді ЖА (жадылы автомат) арқылы белгілейміз. КТ мен ЖА негізгі айырмашылықтары мынадай:

- КТ-ның шығыс шамалары тек ағымдағы кіріс шамаларға (аргументтерге) тәуелді, бастама мәндері жоқ.

КТ-да өтпелі кезең аяқталған соң, олардың шығысында өтпелі кезең сипаттары әсер етпейтін шығыс шамалары қондырылады. Бірақ та, цифрлық құрылғыларда КТ жадылы автоматпен бірге функцияланады: өтпелі кезең кезде КТ шығысында  тәуекел деп аталатын уақытша сигналдар пайда болады. Уақыт өткен сайын олар жойылып, КТ шығысында тізбек жұмысын сипаттайтын, логикалық формуламен қарастырылатын мәндерге ие болады.  Бірақта, КТ шығысындағы тәуекелдердің жойылып кетуіне қарамастан, ЖА жұмысын радикалды өзгертетін тәуекелдер ЖА  жадысының элементтерімен қабылдануы мүмкін. ЦҚ жұмысында тәуекелдер пайда болғандықтан үзіліс болмау үшін, өтпелі кезең уақытында жадының КТ элементтерімен сигнал қабылдауға тиым салынады.  КТ шығысынан ақпараттарды қабылдау, КТ-да өтпелі кезең аяқталғаннан кейін жады элементтеріне түсірілетін, тек қана синхрондаудың арнайы сигналдарымен рұқсат етіледі.

Сондықтан, жады элементтерінде жалған сигналдар әсері болмайды. Сәйкес құрылымдар синхронды деп аталады.

Комбинациялы типті туынды логиканы жобалау сатылы түрде жүзеге асады.

Ең алдымен, КТ функциялау сипаты беріледі. Бұл әртүрлі әдістермен жасалынуы мүмкін, көбіне барлық аргументтерді жинақтап, іздеген функция мәндерін беретін  ақиқат кестесін қолданды. Кестеден іздеген функция ЖДҚТ –ке (ЖДҚТ (СДНФ) – жетілдірілген дизъюнктивті қалыпты түр немесе конъюктивті мүшелер дизъюнкциясы бірдей мөлшерде) өту оңай. Ол үшін, біртұтас мәндерді қабылдайтын функция, сол аргументтердің жиынтығының логикалық қосындысын құрастырады.  

Мысалы,  2.1-кестеде берілген үш аргумент үшін функцияны жаңғырту үшін  ЖДҚТ  аламыз

 

.

  

 2.1кесте - ЦҚ-ның ақиқат кестесі

x1

x2

x3

F

x1

x2

x3

F

0

0

0

1

1

0

0

1

0

0

1

1

1

0

1

1

0

1

0

0

1

1

0

0

0

1

1

0

1

1

1

0

 

Басқа әрекеттер, заманауи схематехникаға қатысты функцияларды жүзеге асыру құралдарына тәуелді:

1) Кестелі типті логикалық блоктар (LUTs, Look-Up Tables).

2)ЖӘНЕ мен НЕМЕСЕ элементтерінің тізбектелген матрицасы түріндегі логикалық блоктар  (PLA, Programmable Logic Array; PAL, Programmable Array Logic).

3) Мультиплексор (ӘЛМ) негізіндегі әмбебап логикалық блоктар.

4) Кейбір базистардағы логикалық элементтерден құралған логикалық блоктар (SLC, Small Logic Cells).

1. Егер КТ кестелі типті логикалық блоктар негізінде жүзеге асырылса, онда ЖДҚТ функцияның ақырғы өрнегі болады және бұл түрге ары қарай ешқандай түрлендіру талап етілмейді. Кестелі блок функцияның барлық мәндерін сақтауға қажет көп ұялы жадыны көрсетеді, немесе 2m, мұндағы m – функция аргументтерінің саны. Аргументтерді теру функция (0 немесе 1) мәндері сақталатын ұяшықтар мекен-жайы болып табылады. ЖДҚТ–та функцияның біртұтас мәндерін сақтауға қажетті барлық мекен - жайлар бар. 

Егерде, іздеген функция  қандай-да бір қысқартылған түрде өрнектелсе, онда оны ЖДҚТ–ге ауыстыру қажет.  Ол үшін хj айнымалысы жоқ  конъюктивті мүшелер дизъюнкцияның тең бірліктеріне көбейтіледі  

Мысалы,

.

2. Егер бұл жоба ЖӘНЕ мен НЕМЕСЕ элементтерінің тізбектеліп қосылған матрица түрінде логикалық блоктар негізінде жүзеге асса, онда бастапқы ЖДҚТ-ны ықшамдауға болады.    ЖӘНЕ мен НЕМЕСЕ матрицалы логикалық блоктар жүйелерді айырып-қосқыш функция ретінде көрсетеді: кірістер, шығыстар және терім сандары. Ықшамдаудың мақсаты функцияның бұл жүйесінде конъюнктивті терімдер санын қысқарту немесе төте дизъюнктивті түрлерді қысқарту  болып табылады. Бұл дизъюнктивті қалыпты түрдің (ДҚТ) ең төменгі түрін іздеуге әкеліп соғады. 

3. Мультиплексор негізіндегі логикалық блоктар 3-тақырыпта қарастырылған.

4. SLC типті немесе вентильді деңгейде логикалық блокта КТ-ны жинақтау дәстүрлі болып  табылады. ("вентиль" терминімен қарапайым операцияларды орындайтын базалы логикалық ұяшықтарды атайды, мысалы, екі-үш кірісі бар ЖӘНЕ-ЕМЕС элементтері).

Бұл нұсқада КТ жобалау келесі сатылардан тұрады:


- логикалық функцияларды ықшамдау;  

- берілген логикалық базиске өту .

Ықшамдау – логикалық функцияны берілген шарттан мағынасын оңайлату үшін түрлендіреді. Алғашында схемадағы жүзеге асыратын өрнекте әріптер саны түрінде қиындықтар шартына әкеліп соғатын логикалық элементтер санын ықшамдауға тырысты. Бұл шарттар схеманың барлық логикалық элементтері кірістерінің қосындысы  Квайн бағасы бойынша есептеледі.  Бұл шарттар бойынша ықшамдау үшін математикалық өрнекті түрлендіру негізінде бірнеше әдістер жасалған, егерде аргументтер саны 6-дан аз болса, Карно картасын (Вейча диаграммасы)  қолдану ыңғайлы.

Жобалаудың келесі әдісі – әдетте Буль базисінен (ЖӘНЕ, НЕМЕСЕ, ЕМЕС)  алатын бастапқы өрнектен берілген логикалық базиске өту.  Бұндай өту ережесі белгілі: олар де-Морген теоремасын қолдануға негізделген. Шеффер базисіне (ЖӘНЕ-ЕМЕС)  өту үшін мына қатынас қолданылады.

,

ал Пирс базисіне (НЕМЕСЕ-ЕМЕС) өту үшін, алдымен іздейтін функцияны терістеу жолымен бастапқы буль түрін алған ыңғайлы, содан кейін НЕМЕСЕ-ЕМЕС базисіне келесі қатынаспен өтуге болады  

.

 

2.2 Екілік дешифраторлар

 

Дешифратордың шығысында кіріс екілік кодқа тәуелді шығыс тізбегінен бір тек қана біреуі қозғалады. n кірісі бар екілік дешифратордың n-разрядты екілік кодта әртүрлі комбинация мәндеріне сәйкес келетін 2n шығыстары болуы керек.

 

 2.1 сурет - Дешифратордың шартты белгісі (а) және  (б) схемасы

 

Дешифраторлардың шартты белгілерінде DC әріптері көрсетіледі (ағылшынша Decoder). Дешифратор кірістері оларды екілік салмақпен белгілеуге қабылдаған. Дешифратордың ақпараттық кірісінен басқа, EN (Enable) деп белгіленетін бір немесе бірнеше кірістері болады.


……………………..

Стандартты дешифраторлардың аз разрядтылығы олардың разрядтылығын өсіру талабын қояды. Ол үшін кіріс сөздер өрістерге бөлінеді. Кіші разрядты өріс разрядтылығы дешифратор кірістерінің сандарына сәйкес келеді. Қалған үлкен разрядтар өрісі кіші разрядтар өрісінің кодын шешетін дешифраторлардың біреуінен жұмыс істеуге сигналдар алу үшін қызмет етеді. 

Мысал ретінде, 2.2-суретте «3-8» және «2-4» дешифраторлары көмегімен бес разрядты екілік код дешифрация схемасы келтірілген. 

 

 

2.2 сурет - Дешифратор көлемінің өсу схемасы

 

Керекті 32 шығысты алу үшін «3-8»  дешифраторының төртеуінен бағана құрылады.  «2-4» дешифраторы  кіріс кодының екі үлкен разрядын қабылдайды.  Осы дешифратордың қозғалған бірлік шығысы бағананың бір дешифраторын оның кіру рұқсатымен ашады. Бағанадан алынған дешифратор кіріс сөздің үш кіші разрядының шифрын шешеді. Әрбір кіріс сөзге тек бір шығыстың қозғалысы сәйкес келеді.

Мысалы, х4х3х2х1х0 = 110012 = 2510 сөзін шешу кезінде дешифратор кірісінің бірінші белдеуінде оның шығысын үшінші нөмірге қозғалтатын 11 коды бар, ол  DC4 жұмысына мүмкіндік береді. DC4 кірісінде 001 коды әсер етеді, сол себепті, бірлік оның бірінші шығысында  пайда болады.

Схемалардың жұмысына  рұқсат пен тиым бірінші белдеу дешифраторының EN кірісі бойынша жүзеге асады.

 

2.2.1 Екілік шифраторлар.

Екілік шифраторлар дешифраторға қарама-қарсы операцияларды орындайды: шифратордың бір кірісі қозғалғанда, оның шығысында қозғалған кіріс желінің екілік коды қалыптасады. Екілік шифратор 2n кіріске және n шығыстарға ие.

 

2.3 Мультиплексорлар мен  демультиплексорлар

 

Мультиплексорлар бір кіріс каналдарын шығысқа басқарушы сөз басқаруымен қосуды жүзеге асырады. Арналардың разрядтығы әртүрлі болғандықтан, көп разрядты сөздер коммутациясына арналған мультиплексорлар бір разрядтылардан құралады.   

2.3 сурет - Мультиплексор моделі (а) және ЖӘНЕ-ЕМЕС элементтеріндегі мультиплексор схемасы  (б)

 

Мультиплексор кірістері екі топқа: ақпараттық және мекен-жайлық болып бөлінеді. Мультиплексор жұмысын көп позициялы кілттер көмегімен оңай көрсетуге болады (2.3,а суретті қара). Мекен-жайлық А коды айырып-қосқышқа F шығысты хi ақпараттық кірістің біреуімен қосып, нақты дәреже береді. 

Нөлдік мекен-жайлық кодта айырып-қосқыштар хо жоғарғы дәрежеге ие, кодты бірлікке көтеріп, көрші дәрежеге х1 өтеді.

Мультиплексор жұмысы былай көрсетіледі

.

Мекен-жайлық кодтың кез-келген мәнінде барлық буындардың біреуінен басқасы нөлге тең. Нөлдік емес буын хj тең, мұндағы:  j – ағымдағы мекен-жайлық кодтың мәні.

Сұлбатехникалық мультиплексор айырып-қосқыш көрсеткішінің электронды версиясынан ерекшелігі  оның біржақты мәліметтер таратуын ескере отырып, жүзеге асырады. 2.3,б суретте төрт ақпараттық, екі мекен-жайлық және Е жұмысқа рұқсат кірістері бар мультиплексор көрсетілген.  Жұмысқа рұқсат болмаған кезде (Е = 0) F шығысы ақпараттық және мекен-жайлық сигналдарға тәуелсіз түрде нөлге айналады. 

2.4 суретте, «32 - 1» мультиплексорының (қысқартылған MUX ағылшыннан Multiplexer) функцияларын орындайтын және аз көлемді 5 мультиплексорда құрылған пирамидалы схема көрсетілген.


3 Дәріс. Әмбебап логикалық модульдер

 

Функцияның n-аргументтері тең екені белгілі. n өскен сайын функция саны өте тез өседі. ӘЛМ-нің әмбебаптығы оны аргументтің берілген сандары үшін, кез-келген функция күйіне келтіруге болады.   

 

3.1 ӘЛМ-ді күйге келтірудің бірінші әдісі

 

Күйге келтірудің бірінші әдісі: кейбір кірістерді бекіту болып табылады. Бұл әдіс үшін n аргументтер саны мен 2n күйге келетін кірістер саны арасындағы қатынастар нақтырақ болады. Онда, күйге келтіру коды үшін  комбинация саны функция санына тең – . Осы кезде мекен-жайлық кірістерде –  функция аргументтері, ал ақпараттық кірістерде – күйге келтіру сигналдары беріледі (3.1 суретті қара).

 

 3.1 сурет - ӘЛМ ретінде мультиплексор қолдану схемасы

 

Сондықтан, ӘЛМ ретінде мультиплексор қолдану үшін оның кірістерінің мәндерін өзгерту керек.

Шынымен де, әрбір аргумент жинауға  күйге келтірудің бір шығысына жіберу сәйкес келеді. Егер осы сигнал осы аргумент жинауда функция мәні болса, онда мәселе шешілді. Әртүрлі функциялар үшін күйге келтірудің әртүрлі кодтары сәйкес келеді: 0 және 1.  

3.2 суретте, «4 - 1» мультиплексоры көмегімен     мәнді әртүрлі функциялар келтірілген.

 

 

 

 3.2 сурет – «НЕМЕСЕ-ні қоспағанда» функцияны жүзеге асыратын ӘЛМ схемасы

Күйге келтірілетін кірістердің үлкен мәндері ӘЛМ-ді жүзеге асыруды қиындатады.

 

3.2 ӘЛМ-ді күйге келтірудің екінші әдісі

 

Күйге келтірудің кірістерін азайту күйге келтірілетін сигналдар алфавитін кеңейтумен аяқталады. Егер {0,1} алфавитінен  {0,1,xi } алфавитіне өтсек, онда, аргументтердің кірістерінің  саны бірге, ал күйге келтіру кірістері екіге  кемиді, мұндағы  xi – бір аргумент литералы. Бір аргументті күйге келтіру сигналдары қатарына өткізсек, өзімен бірге ешқандай схемалық өзгерту жасамайды. Осы құрылғыда тұрақтыларды күйге келтіруге қарағанда, аргументтер саны бір бірлікке артық функция жүзеге асады.

Жаңа алфавит үшін күйге келтіру коды келесі түрде болады. Аргументтер xi болмағанда, дара xi аргументінің функциясы болатын, оларды бекіту ізделетін функция өрнегіне сәйкес келетін мекен-жайлық кодқа беріледі. Бұл қалдық деп аталатын функцияны күйге келтірілетін кіріске беріп керегі жоқ. 

Егер ізделетін функция n аргументке тәуелді болса және күйге келтірілетін сигналдарда кез-келген аргумент ауысуы мүмкін болғандықтан, күйге келтірілетін сигналдар санына бір аргумент ауыстырылса, онда тапсырманы шешудің n нұсқасы пайда болады. 

Күйге келтірілетін сигналдар, функциядағы кіру саны ең аз аргументті өткізеді. Бұндай жағдайда, күйге келтірілетін сигналдар арасынан ӘЛМ-ді жүзеге асыру схемасын жеңілдететін тұрақтылар саны көбейеді.

3.3 суретте функцияны көрсететін үш аргументтің мысалы келтірілген.

 3.3  сурет - Үш аргументпен функцияны көрсету мысалы


Функцияны көрсетуге енудің минималды саны, күйге келтірілетін сигналдар санына өтетін х1 айнымалыға ие. Қалдық функция 3.1 кестемен анықталады

 

 3.1 кесте

x2

x3

Fост

0

0

1

0

1

0

1

0

0

1

1

х1

 

Егерде, күйге келтірілетін сигналдарға екі аргумент өткізсек, онда қосымша логикалық схемалар ӘЛМ-ді қиындатпайтын, екі кірісті вентилді болады. Бұл жағдайда мультиплексорға екі айнымалының барлық функцияларын қалыптастыратын  қалдық функциялардың өнімінің блогын қосу керек. Күйге келтірудің  {0,1,х12} алфавитінде, төрт аргумент   функциясын көрсету мысалы 3.3 суретте келтірілген.  

 

3.3 сурет   Төрт аргумент функциясын көрсету мысалы 

 

Осы мысал үшін қалдық функция  3.2 кестесімен анықталады.

 

 3.2 кесте

X4

x3

Fост

0

0

х1х2

0

1

1

1

0

 х1х2

1

1

х1х2

 

3.3 ӘЛМ-нің пирамидалық құрылымы

 

Күйге келтірілетін сигналдарда үш немесе одан көп айнымалылар есебінен күйге келтіру алфавиттерін ары қарай кеңейту үш және одан да көп айнымалылардың қалдық функцияларын есептеуді талап етеді. Осындай функцияларды мультиплексор көмегімен есептеу пирамидалық құрылымға келтіреді (3.4 суретті қара), бірінші белдеу мультиплексоры қалдық функцияны жүзеге асырады, ал екінші белдеу мультиплексоры ізделетін функцияны өңдейді.


0 және 1 тұрақтыларымен электронды күйге келтіруде схема n аргументтер функциясын жүзеге асырады, мұндағы n = k + р, k – екінші белдеу мультиплексорына берілетін аргументтер саны, р – қалдық функцияға тәуелді, 0...2k - 1 бірінші белдеу  мультиплексорымен көрсетілетін аргументтер саны. 

Схемада аппараттық шығынды азайту үшін бағанадағы мультиплексор санын азайтуға тырысу керек, k аз және сәйкесінше р көп болғандықтан, олардың k + р қосындысы  n-ға тең.  

Бірінші белдеу мультиплексорының күйге келтіру сигналдарын әртүрлі тәсілдермен іздеуге болады:

1) Күйге келтіру сигналдарын және қалдық функцияларды алу үшін мультиплексордың мекен-жайлық кірісіне берілетін аргументтер жиынтығын бекітумен (3.1 және 3.2 кестелерді қара).

2) Шеннон бойынша функцияларды ыдырату көмегімен.

Аргументтерді жекелеп ыдырату келесі түрде болады:

.

Екі аргумент бойынша ыдырату келесі түрде болады:

 k аргументі бойынша ыдырату:

.

 

 

 3.4 сурет   ӘЛМ-нің екі белдеулі схемасы

 

Ыдырату формуласының құрылымы екі белдеулі ӘЛМ-ді толығымен жүзеге асыруға сәйкес келеді. Бірінші белдеуде n - k аргументтеріне тәуелді, k аргументі функциясын көрсететін мультиплексор екінші белдеуді күйге келтіретін    Fi, (i = 0,..., 2k - 1) функциясы жүзеге асырылады.


3) Күйге келтірілетін сигналдарды функциялардың ақиқат кестесінен тікелей алуға болады. 

Төрт айнымалылы х3х2х1х0 функциясы және х3 айнымалысы аргументтер векторы үлкен разрядтар болып саналатын болсын. Функция бірлік мәндерді қабылдайтын, аргументтер жиынтығы аударым түрінде берілген, сондай-ақ ондық мәндер жиыны берілген: 3, 4, 5, 6, 7, 11, 15. Бұл функцияның  талдаушылық мәні мынадай: .

Функция мәндері 3.3 кестеде келтірілген.

 

3.3 кесте

х3

x2

x1

x0

F

0

0

0

0

0

0

0

1

0

0

0

0

0

0

1

1

0

1

0

1

0

0

1

1

0

0

0

1

1

1

0

0

1

1

1

1

0

1

1

1

1

1

0

0

0

0

0

1

0

0

1

1

0

0

1

1

0

1

0

1

1

1

1

1

0

0

0

1

0

0

1

1

1

1

1

1

0

1

0

1

 

ӘЛМ-ді 0 және 1 тұрақтыларымен электронды күйге келтіргенде «16 - 1» көлемді мультиплексор талап етіледі, ӘЛМ-нің күйге келтірілетін кірістеріне кестедегі функция мәндері беріледі.

Күйге келтірілетін сигналдарға хо  ауыстырғанда ({0,1,хо} (күйге келтіру алфавиті), айнымалылар векторы болып табылатын х3х2х1 аргументтермен қалдық функцияны табу керек. Бұл айнымалылардың  әрбір комбинациясы 3.3 кестенің екі аралас жолдарымен кездеседі. Кестені жолдардың аралас жолдарымен қарасақ (жеке ұяшықтарға бөлінген), қалдық функцияның 3.4 кестеге сәйкес келетінін көруге болады.

 


3.4 кесте

х3

х2

х1

Fост

0

0

0

0

0

1

0

х0

0

0

1

1

0

1

1

1

1

1

0

0

0

1

0

х0

1

1

1

1

0

1

0

х0

 

ӘЛМ-нің осы нұсқасын жүзеге асыру үшін "8-1" мультиплексоры жеткілікті, бірақ басқа функцияға өту үшін тек күйге келтіру  кодын ауыстырып қана қоймай, күйге келтірілетін басқа кірістерде айнымалылар литерін беру үшін оның коммутациясын да ауыстыру қажет. 

  

4 Дәріс. Компараторлар және бақылау схемалары

 

4.1 Компараторлар

 

Компараторлар (салыстыру құрылғысы) екі сөз арасындағы қатынасты анықтайды. Басқаларын анықтайтын негізгі қатынастар деп  "тең" және "үлкен"-ді айтуға болады.

Компараторлар функция белгіленуіндегі индекстерінде көрсетілген шарт сақталса, онда 1 мәнін қабылдайды.  Мысалы, А = В болса, онда FA = B = 1, ал нөлдік мән қабылдаса  А ≠ В.

Негізгі қатынас ретінде  "тең" және "үлкен" қабылдасақ, онда қалғанын былай жазуға болады: 

Цифрлық элементтер сериясында ретінде әдетте үш кірісті компараторлар бар:  "тең", "үлкен" және "кіші" (4.1 суретті қара). Шығыс функциясының индексінде жазбаны қысқарту үшін тек А сөзі көрсетіледі.

                    

 

 4.1 сурет - Үш шығысты компараторлардың шартты белгілері


Тепе-теңдікте салыстыру құрылғысы екі сөздің бір аттас разрядтарында разряд бойынша операция негізінде құрылады. Егерде олардың бір аттас разрядтары тең болса, екеуінде де нөл немесе бірлік болса, онда сөздер тең болады. Разрядтар теңдігі белгісі

.

Сөздер теңдігі белгісі  

4.2 суретте ЖӘНЕ-ЕМЕС базисінде тепе-теңдіктегі компаратор схемасы көрсетілген.

 

4.2 сурет - Тепе-теңдіктегі компаратор схемасы

 

Бір разрядты сөз үшін "үлкен"-де компаратор құру  функциясын жүзеге асыруды талап етеді  (4.1 кестеге қараңыз).

 

4.1кесте

a

b

FA>B

0

0

0

0

1

0

1

0

1

1

1

0

 

Жалпы жағдай үшін  n-разрядты сөз мынаған тең  

.

  

4.2 Бақылау схемасы

 

Қателерді табу есептері әртүрлі әдістермен жүзеге асады. Бақылау функциясын қосу әрқашанда артықшылықпен байланысты – жаңа мүмкіндіктер үшін төлем қосымша аппараттық және уақытша шығындарға әкеледі.

    

4.2.1 Мажоритарлы элементтер.

Мажоритарлы элемент тапсырмалары -"дауыс беру" өткізіп, кірістің біршамасына сәйкес келетін шығыс шамасына беру. Мажоритарлы элементтерде кірістер тек қана тақ сан болады.

Функцияланған мажоритарлы элемент F1, F2, және F3  мәндері келіп түсетін кірістерде және дауыс беру нәтижесінде өңделген F шығыс шамасы 4.2 кестеде көрсетілген.

4.2 кесте

F1

F2

F3

F

a1

a0

0

0

0

0

0

0

0

0

1

0

1

1

0

1

0

0

1

0

0

1

1

1

0

1

1

0

0

0

0

1

1

0

1

1

1

0

1

1

0

1

1

1

1

1

1

1

0

0

 

F шығысынан басқа, кестеде F=1 болғандағы бас тартушы арнаның нөмірін көрсетуші, екі разрядты кодтың үлкен және кіші разрядтары - a1, ао  шығыстары берілген (4.4 суретті қара). Кестеден, оңай түрленуден кейін төмендегідей келесі функцияны алуға болады:

 

 

 

 4.4 сурет - Мажоритарлы элементтермен дауыс беру схемасы 

 

Схемада мажоритарлы элемент типінен аса көп сенімділік талап етіледі, егер ол бас тартса, онда  схеманың барлық қорын пайдасыз қалдырады. 

 

4.2.2 Модуль 2 бойынша бақылау.

ЦҚ қалыпты жұмыс істеу үшін маңызды шарт – мәліметтерді дұрыс тарату мен сақтауды бақылау.

Кодтық комбинация – қабылданған алфавит символдарын жинақтау.

Код – ақпаратты бейнелеуге арналған кодтық комбинация жиынтығы.  

Екі кодтық комбинация арасындағы кодтық арақашықтық – осы комбинация бір-бірінен ерекшелінетін разрядтар саны.

Ең аз кодтық арақашықтық  dmin осы кодқа кіретін, кез-келген комбинациялар жұбы үшін ең аз кодтық қашықтық.

Қателер ұзақтығы дегеніміз осы сөздегі қателер саны (дұрыс емес разрядтар саны).

Кодтау теориясынан кодтарды қолданғанда қателерді тауып және түзеу шарттары белгілі:

мұндағы dmin кодтың ең аз арақашықтығы;

гтаб және гтүз сәйкесінше қателерді тауып және түзеу ұзақтығы.

Код салмағы – осы комбинациядағы бірліктер саны.

Екілік код үшін ең аз кодтық қашықтық dmin = 1, сол себепті, ол өзіне әсер ететін қандайда бір мүмкіндікке ие емес. Ең болмаса, бірлік ұзақтықтағы қателерді табу мүмкіндігіне ие болу үшін, ең аз кодтық арақашықтықты  1-ге көтеру керек. Бұл  модуль 2 бойынша бақылау үшін жасалған  (тақ/жұп бойынша бақылау).

Бақылаудың бұл әдісінде сөздер барлық кодтық комбинациялардың әрқайсысын жұп етіп жасау үшін мәндерді таңдап, бақылау разрядтарымен толықтырылады. Бірлік қатеде жұп (тақ)  кодтық комбинацияда оның салмағы өзгереді, ал мұндай комбинация бұл кодқа жатпайды. Екілік қателікте комбинация жұптылығы (тақ) бұзылмайды – мұндай қателер ашылмайды. Бақылау разряды бар кодта

                                                             dmin = 2.

Жұп бойынша бақылау кезінде кодтық комбинация салмағы жұп, ал тақ бақылау кезінде тақ болады.

Модуль 2 бойынша бақылау түйіншек схемасы көмегімен жүзеге асады. Машықтану үшін типтік көп белдеулі түйіншек схемасы пирамида типті болады.   

Модуль 2 бойынша  ИС түйіншекке мысал ретінде КР1533 сериялы ИП5 микросхемасы қызмет етеді (4.5,а суретті қара). Схема байт түйіншегіне тоғыз бақылау разрядымен жіберілетін 9 кірістен тұрады. Схеманың екі шығысы  Е (Even) және О (Odd) болады. Егерде кіріс комбинацияның салмағы жұп болса, онда Е = 1 және О = 0 , егер салмағы тақ болса, керісінше болады.

 

 4.5 сурет - ИКР1533ИП5 микросхемасы

 

Сұлбатехникалық  ИС КР1533ИП5  тақ/жұп типті үш кірісті элементтерден құрылған пирамидалы құрылымды көрсетеді (4.5,б суретті қара).

Модуль 2 бойынша бақылаумен мәліметтерді тарату 4.6 суретте көрсетілген. Кіріс мәліметтер D арқылы белгіленген, ал каналдан шығыстағы мәліметтер байланысы D' арқылы белгіленген, олар қателерді іздеуде өзгеруі мүмкін.


 

             

 4.6 сурет –  ИП5-ті байланыс арнасын бақылау үшін қолдану

 

4.2.3 Хемминг кодын пайдаланып бақылау.

Хемминг кодын қолдану қабылдаудың соңында бірлік қателерді түзетуге мүмкіндік береді. Хемминг кодының кодтық комбинациясын алу үшін ақпараттық сөзге бірнеше бақылау разрядтары қосылады. Қабылдаудың бақылау разрядтарының мәнін анықтау мақсатымен бақылау разрядтары 2i (i = 0, 1, 2,...), немесе 1, 2, 4, …  нөмірлі ұстанымдарға ие.

Әрбір бақылау разряды кодтық комбинацияның кейбір топтық разрядтарымен қауымдасады және өзі кіретін топ салмағын жұп/таққа  шығарады.

Бірінші бақылау разрядына (1 - реті) екілік кодқа хх...хх1 сәйкес келетін нөмірлі разрядтар тобына кіреді, мұндағы х – о немесе 1.  Басқаша айтқанда, бірінші топқа тақ нөмірлі 1, 3, 5, 7, ...  разрядтар кіреді.

Екінші бақылау разрядына (2 - реті) екінші оң жақтағы разрядта бірлікке ие немесе хх...х1х екілік кодқа сәйкес келетін нөмірлері бар разрядтар тобына кіреді. Бұл нөмірлер 2, 3, 6, 7, ... .

Үшінші бақылау разрядына (4 - реті)  үшінші оң жақтағы разрядта бірлікке ие немесе екілік  хх...1хх кодына сәйкес келетін 4, 5, 6, 7, ...  нөмірлі разрядтар кіреді.  

Бақылау разрядтары өздерінің топтарының салмақтарын жұп/таққа шығарады. Одан кейін, қабылдауды анықтау үшін жұптар бойынша бақылау жүргізіледі. Модуль 2 бойынша тексеру, кодтық комбинацияда қанша бақылау разряды болса, сонша болады. Егерде кодтық комбинацияда қате болса, онда бір тексеруде ол айтылады, ал басқаларда жоқ. Бұл қате болған разрядты анықтауға мүмкіндік береді. Сөздің дұрыс мағынасын анықтау үшін тек қана қате разрядты қайтадан терістеу керек.

Мысалы: төрт разрядты ақпаратты сөз А = а3а2а1а0 = 0110 үшін Хемминг кодын құрыңыз (4.3 кестені қара).

 

4.3 кесте

8

7

6

5

4

3

2

1

р

а3

а2

а1

р3

а0

р2

р1

0

1

1

0

1

0

0

0

0

0

0

0

0

0

0

0

1

1

0

0

1

1

0

0

0

0

1

1

1

1

0

1

0

1

0

1

0

1

0

1

1

0

0

1

1

0

0

1

0

0

1

1

0

0

1

1

1

0

1

0

1

1

1

0

1

1

0

1

0

1

1

1

0

1

0

1

1

0

0

1

 

Р арқылы кестеде барлық кодтық комбинациялар үшін ортақ бақылау разрядтары белгіленген, p1, р2, р3 арқылы  - бірінші, екінші және үшінші бақылау разрядтары.

Р разрядын ескермей-ақ, дұрыс кодтық комбинация түрі мынадай:

 

7

6

5

4

3

2

1

0

1

1

0

0

1

1

    

Екінші сол жақта ( 6 - разрядта)  қате болып, комбинация қабылдансын делік:

 

7

6

5

4

3

2

1

0

0

1

0

0

1

1

Бірінші тексеру (тақ нөмірлі разрядтар топтары бойынша) бұл топта қателік жоқ екенін көрсетеді, тексеру нәтижесін нөл деп белгілейміз.

Екінші тексеру (2, 3, 6, 7 разрядтары бойынша) комбинация салмағының жұптылығының бұзылуын байқайды, тексеру нәтижесін бірлікпен белгілейміз.

Үшінші тексеру (4, 5, 6, 7 разрядтары бойынша) мұнда да жұптылық бұзылуы байқалады, нәтижесі бірлікпен белгіленеді.

 

5 Дәріс. Сумматорлар

 

Сумматорлар сандарды арифметикалық біріктіру мен азайтуды орындайды, процессорлардың арифметика-логикалық құрылғылар (АЛҚ)  схемаларының ұйытқысы болып табылады. 

 

5.1 Бір разрядты сумматор

 

Бір разрядты сумматордың үш кіріс (екеуі қосылмалы және біреуі алдыңғы разрядтан өтетін) және екі шығысы (келесі разрядқа өтетін және қосылатын) бар.  

 

5.1 кесте - Бір разрядты сумматордың ақиқат кестесі

 

ai

bi

ci-1

Si

Ci

0

0

0

0

0

0

0

1

1

0

0

1

0

1

0

0

1

1

0

1

1

0

0

1

0

1

0

1

0

1

1

1

0

0

1

1

1

1

1

1

 

Қосылатын және өтетін функциялардың талдау өрнектері мынаған тең ағылшыннан carry)

                                      .                                 (5.1)               

                                                     .                                         (5.2)

5.1 кестеден, бірінші және соңғы жолдардан басқасы төмендегідей екенін көруге болады

                                                          .                                                           (5.3)

(5.3) формуласы 5.1 кестенің барлық жолдары үшін дұрыс болатынын көруге болады, егер 1 – жолда  емес,  болса, онда (5.3) формуласы бойынша 0=1∙0 ( өткізу функциясын қайтадан терістеу)  теңдігі сақталатын еді және соңғы жолға  қосыңыз.

 (5.3) формуласын ескере отырып,  мынадай формула  аламыз

                                            .                                           (5.4)

(5.2 және 5.4) өрнектерді жүзеге асыратын сумматордың схемасы 5.1,а суретінде көрсетілген.  

 

5.1 сурет - Бір разрядты сумматор схемасы және шартты белгісі (б,в)

 

     Si қосылу функциясы мен Ci  өту функциясы  өздігінен екі түрлі қасиетке ие (5.1кестеге қара): барлық аргументтерді терістегенде функцияның барлық мәндері терістеледі 

                                                .                                      (5.5)

 

 

5.2 Тізбектелген сумматор

 

Тізбектелген операнттарға арналған сумматор тек бір ғана бір разрядты сумматордан тұрады, ол ең кішісінен бастап разрядтарды кезектесіп өңдейді. Кіші разрядтарды қосып, нәтиже мен тасымалдау кіші разрядтары үшін бір разрядты сумматор бір такттіде сақталынатын қосынды өңдеп шығарады.  Келесі тактіде кіші разрядтан ауысатын a1 және b1 қосылмалы жаңадан түскен разрядтар жиналады.

Тізбектелген операнттар сумматоры схемасында (5.2,а суретті қара) сумматордан басқа, A,B қосылмалы қозғалатын регисторлар және S қосынды, сондай-ақ, ауысуды есте сақтайтын D-триггер бар. A,B,S регисторлары және  D-триггері синхроимпульстармен СИ тактіленеді. 5.2,6 суретте , екі операнттың қосылу операциясына 101 + 110= 1011 немесе ондық өрнекте 5 + 6 = 11 сәйкес келетін уақыттық диаграммасы көрсетілген.

 

5.2 сурет -  Тізбектелген сумматор схемасы (а) және уақыттық диаграмма (б)

 

 

5.3 Тізбектелген тасымалдауы бар параллелді сумматор

 

Тізбектелген тасымалдануы бар параллельді операнттарға арналған сумматор тасымал тізбегімен тізбектеліп қосылған бір разрядты тізбек секілді  құрылады. Қосылу мен ауысу функциялары өздігімен екіжүзділенетін (5.5) болғандықтан, қосылу мен тасымалдың терістелуін өңдейтін бір разрядты сумматордың схемасы үшін тізбек, 5.3 суретте келтірілген түрге ие. Сумматор разрядына терістелген аргументтер берілу керек болса, олардың желісінде терістегіш бар, ал терістелген қосынды өңделсе, онда терістегіш шығыс тізбекке жалғанады.

 5.3 сурет - Тізбектелген тасымалдаулы параллельді сумматор схемасы

5.4 Параллельді тасымалдауы бар параллельді сумматор

 

Параллельді операнттар үшін параллельді тасымалдаулы сумматорлар максималды тезәсерлілікті алу үшін жасалған.

Параллельді ауысулы сумматорда разрядты торды жағалай ауысатын тізбектеп таралуы болмайды.  Барлық разрядтарда нәтиже біруақытта, уақытқа параллелді өңделеді. Берілген разряд үшін ауысу сигналы, ауысуды өңдеуге қажетті,  кірісіне барлық айнымалылар келіп түсетін, оның бар-жоғына тәуелді арнайы схемамен қалыптасады. Разрядты схемалардағы бір разрядты сумматорлар оңайлатылған, олардан ауысу шығысы талап етілмейді, қосылудың бір шығысы жеткілікті  (5.4 суретті қара).  CR белгіленуі  саrrу (ауысу) сөзінен алынған.

 

5.4 сурет - Параллельді тасымалдаулы сумматор схемасы

 

Егер берілген разряд шығысында кіріс тасымалдың бар-жоғына қарамастан тасымал  пайда болса, генерация функциясы 1 қабылдайды. Бұл  gi = аibi функциясы екені белгілі.  

Егер, берілген разряд шығысында тасымал тек кіріс тасымалы болғанда ғана пайда болса, онда айқындылық функциясы 1 қабылдайды. Бұл функция hi = aivbi. Нақтап айтқанда, , бірақта, аi = bi = 1 кезінде, НЕМЕСЕ және БЕКІТУШІ НЕМЕСЕ функциялары арасында айырмашылық пайда болады, ауысым gi = 1 үшін қалыптасады, айқындылық функциясын дизъюнкцияға ауыстыру мүмкін.  Енді, ауысым сигналы үшін өрнекті мына түрде жазуға болады .

 i нөмірлі туынды разряд үшін былай жазуға болады

                             .                 (5.6)

ЖӘНЕ-ЕМЕС элементтеріндегі болжамды схема (стандартты элементтерде ЖӘНЕ бойынша сегіз элементке дейін болады). Алынған өрнектің аударуы ЖӘНЕ-ЕМЕС базисінде мынандай өрнекке ие

 

,

,

                                           .                                  (5.7)

 5.5 сурет - Параллельді ауысулы сумматор схемасының нұсқасы

 

Сумматор схемасы алынған өрнекке сәйкес келеді  (5.5 суретті қара). Паралельді тасымалдаулы сумматор беделін  көтеретін, разрядтылық диапазоны үлкен емес.  n = 3...4 дейін тізбектелген ауысулы,   n = 8 дейін паралельді ауысулы, n = 8 кейін топтық құрылымды сумматорлар  қолданылады.

 

6 Дәріс. АЛҚ және матрицалық көбейткіштер

 

6.1 Арифметикалы-логикалық құрылғы

 

Негізгі АЛҚ (Arithmetic-Logic Unit)  схемасы функционалды мүмкіндіктерді кеңейтетін және оның қайта құрылуын бір операциядан басқаға өтуді қамтамасыз ететін сумматор. АЛҚ негізінен төрт разрядты және разрядтылықты өсіру үшін тізбектеліп немесе паралельді тасымалданумен қалыптасу біріктіріледі. Әртүрлі технологияларда АЛҚ-ның логикалық мүмкіндіктері ұқсас болады (ТТЛШ, КМОП, ЭСЛ) .

АЛҚ ( 6.1 суретті қара) А және В операнттарының кірістеріне, S операциясын таңдау кірісіне және  орындалатын операция типін беретін сигналдың М (Mode) кірісіне ие:  логикалық (М = 1) немесе арифметикалы-логикалық  (М = 0). Операция нәтижелері F шығыстарында өңделеді, ал G және Н шығыстары АЛҚ көлемін өсіру кезінде паралельді тасымалдауды қамтамасыз ететін,  шығыстары айқындылық және генерация функцияларын береді. Со сигналы – шығыс тасымал, ал А = В шығысы ашық коллекторлы тепе-теңдікке салыстыратын шығыс.

 

6.1 сурет - АЛҚ-ның шартты белгісі

 

АЛҚ-ның орындайтын операцияларының тізімі 6.1 кестеде келтірілген. S3S2S1S0  екілік жүйедегі қысқалық үшін оларды ондық эквивалентпен көрсеткен. Кіріс тасымал 000Сi сөзінің кіші разрядына келіп түседі. Арифметикалық операцияларда разряд аралық тасымалдар ескеріледі.

 Логикалық 16 операциялар екі айнымалының барлық функцияларын шығаруға мүмкіндік береді. Арифметикалы-логикалы операцияларда логикалық және арифметикалық операциялар бір уақытта кездеседі.

Мысалы,  - бастапқыда разряд бойынша терістеу (В), логикалық біріктіру  (АvВ) және логикалық көбейту  (АВ) операцияларын орындайды, содан кейінкөрсетілген үлгімен алынған екі төрт разрядты сандар арифметикалық түрде қосылады. 

Үлкен көлемді сөздердегі операциялар кезінде АЛҚ тізбектеліп ұйымдасып, (6.2,а суретке қара) немесе паралельді тасымалдаумен бір-бірімен қосылады  (6.3,б суретке қара).  Соңғы жағдайда, АЛҚ-мен бірге микросхема – АЛҚ жеке  айқындық және генерация функцияларын алатын жылдамдататын

тасымал блоктарын, сондай-ақ нақты формула бойынша тасымал сигналдарын шығарушы және кіріс тасымалдары қолданылады.

 

6.1 кесте АЛҚ операцияларының тізімі

 

S

Логикалық функциялар

(М=1)

Арифметикалы-логикалық функциялар

(М=0)

0

1

2

3

0000

A + Ci

1111 +Ci

4

5

6

7

8

9

10

11

В

АВ

A + AB + Ci

A + B + Ci

AB + 1111 + Ci

12

13

14

15

1111

А

A + A + Ci

A v B + A + Ci

A + 1111 + Ci

 

CRU блогы АЛҚ қызмет ететін барлық топтар үшін қажет болған жағдайда келесі дәреже бойынша паралель тасымалды ұйымдастыруға мүмкіндік беретін айқындылық және генерация функцияларын өңдейді (төрт АЛҚ ішінен бірнеше топтар арасында).

6.2 сурет -  Тізбектеліп (а) және параллельді (б)  тасымалдану кезіндегі  АЛҚ-ның өсу және топтар үшін (в) компаратор функцияларын жүзеге асыру схемалары 

 

6.2,в суретте АЛҚ топтары үшін сөздерді салыстыру үшін сигналдарды өңдеу тәсілдері көрсетілген. Тепе-теңдікке салыстыру шығысы ОК типті ығыстар үшін монтажды логика схемалары бойынша орындалады. Азайту  режимінде АЛҚ жұмысы кезінде топтардың шығысында тасымалдау сигналдарын сөздерді теңестіру сигналдарымен құрамдастырып Fa≥b  және Fa≤b функцияларын оңай алуға болады. Егер  А<В болса, онда азайту кезінде үлкен разрядтан қарыз алу туындайды  Fa≤b = 1. Егер де қарыз болмаса (А>В), онда Fa≥b = 1.

 

6.2 Матрицалы көбейткіштер

 

Матрицалы көбейткіштердің құрылымы көбейту операцияларын сипаттайтын математикалық өрнектердің құрылымымен тығыз байланысты. Екі таңбасыз екілік жүйе сандары болсын Am = am-1...ao және Bn=bn-1...bo. оларды еселеу "бағаналарды көбейту" схемасы бойынша орындалады. Егер сандар төрт разрядты болса m = n = 4, онда

 

 

 

aibj мүшелері, мұндағы  i = 0... (m - 1) және  j = 0... (n - 1), конъюктор уақытымен паралельді түрде өңделеді. Оларды бағана бойынша әртүрлі жолмен

орындауға болатын  көбейту көбейткіштер үшін негізгі операцияларды құрайды. 

Матрицалы қайта көбейткіштер қарапайым көбейткіш блоктары (КБ) немесе көбейтіп-қосқыш блоктары (КҚБ) болуы мүмкін, соңғысы көбейткіштердің көлемінің өсуіне жағдай жасайды.

КҚБ МСБ Р = Аm х Вn + Сm + Dn операциясын жүзеге асырады, ол туындыға екі буын қосады: біреуі  көбейетін разрядтылыққа сәйкес келетін разряды, ал екіншісі m т. е. Көбейткішке екі қосылғыш қосады: біреуі  m разрядты, көбейтінді разрядымен сәйкес келетін, екіншісі n разрядты, көбейткіш разрядымен сәйкес келетін.

 

6.2.1 Көбейткішті-қосындылы блоктар.

Көбейткішті-қосындылы блоктар конъюнкторлар жинағынсыз төртразрядты операндтар үшін, өңделген аibj түріндегі мүшелері  6.3, а суретте көрсетілген ( мұндағы бірразрядты  сумматор үшін қолданылған белгілеу 6.3, б суретті қара).

 

6.3 сурет  – Төртразрядты көбейткіштерге арналған КҚБ сызбасы (а) және  осы сызба үшін бірразрядты сумматордың белгіленуі (б)

 

Теңразрядты сандардың  КҚБ құру үшін  n2 конъюкторлар және  n2  бірразрядты сумматорлар керек.

 

6.2.2 Жедел көбейту сызбалары.

Модифицирленген алгоритм бойынша көбейту процесін қарастыралық. Бұнда көбейту бірден екі разрядқа артады. Жеке көбейткіштердің қосындысын азайту функциясы көбейту уақытын едәуір қысқартады. Осыған төмендегі талдауларға негізделген  алгоритм алып келеді.

Мына көбейтіндіні есептеу керек болсын

                                .                                (6.1)

Тікелей (6.1) қатынасын  қайта көбейту мына түрдегі жеке көбейтулерді орындаумен тікелей байланысты

                                         Abi2i    (i = 0...n - 1).                                           (6.2)

Мұндай көбейтулер саны  n көбейткішінің санына тең.

Мына қатынас көмегімен  (6.1) өрнегінің түрін өзгертуге болады

                                                      .                                             (6.3)

Бұл қатынас жеке көбейткіштер қосындысындағы дәрежелердің тізбектілігін сиретуге мүмкіндік тудырады. Мысалы, 6.4 суретте көрсетілгендей жұп дәрежелерді шығарып тастауға болады.  

6.4 суретЖұп дәрежелерді шығарып тастау

 

Жұп (тақ)  дәрежелерді шығарып тастау қалған жеке көбейтінділердің мәнін ғана өзгертіп қоймай , сонымен қатар олардың санын жуық шамамен екі есеге азайтып, көбейту нәтижесін тездетеді.  (6.3) формуласы бойынша разрядтық торды кеңейту үшін (6.1)  өрнегіндегі В көбейткіші  үшін  b222,bo2o,b-22-2  жұп дәрежелі мүшелерді «көршілерге таратады».

Осыдан соң  кез-келген i-ші  разряд үшін мына түрге келтіріледі

                                                     .                                     (6.4)

Егер  (6.1) және (6.4) өрнектерін салыстырсақ, онда жеке көбейтінділер екі есе азайды. Осы алгоритмді қолданған кезде бірден  екі разрядқа көбейту туралы (i жұп разрядтан бастап коэффициенттері солдан i+1 және оңнан i-1 ).

 (6.4)  барлық  мүмкін bi+1, bi, bi-1  үшін қолданып, жеке көбейтінділер үшін 6.2 кестесін құруға болады.

 

 

 6.2  кесте

bi+1

bi

bi-1

Жақша мәні

Ri/2i

Алу операциясы Ri/2i     

0

0

0

0

0

 А нөлмен ауыстыру

0

0

1

1

А

 А көшіру

0

1

0

1

А

А көшіру

0

1

1

2

А солға жылжыту

1

0

0

-2

-2А

 А солға жылжыту және А қос. кодқа ауыстыру

1

0

1

-1

А қос. кодқа ауыстыру

1

1

0

-1

 А қос. кодқа ауыстыру

1

1

1

0

0

А нөлмен ауыстыру

 

Мысал.

1010-ды  0111-ге көбейту керек болсын, яғни  10∙7 = 70. Жеке көбейтінділерді сиреткен кезде тек тақтарын ғана қалдырамыз (6.4 суретті қара).

Енді (6.4) формуласы бойынша мынаны аламыз        .

Алынған өрнектен көрініп тұрғандай  В көбейткішінің разрядтық торын солдан 1 разрядқа және оңнан 2 разрядқа кеңейту керек, яғни

В = b4b3b2b1bob-1b-2 = 0011100.

Бірінші жеке көбейтіндіге   bob-1b-2 = 100 үштігі  сәйкес келеді.  6.2 кестеден осы үштікке мына жеке көбейтінді сәйкес екенін аламыз

R-1 = -2А∙2-1 = -А,

оны алу үшін   қосымша А кодқа көшіру керек.  А  шамасының өзі  разрядтық көбейту тор шегінде 0001010 сияқты болып жазылуы керек, оның кері коды 1110101 және қосымша коды 1110110 болады.

Екінші жеке көбейтіндіге  b2b1bo = 111 үштігі сәйкес келеді, осыдан екінші жеке көбейтінді  R1 = 0 тең болады ( 6.2 кестені қара).

Үшінші жеке көбейтіндіге  b4b3b2 = 001 үштігі сәйкес келеді, осыдан  оның түрі  R3 = А∙23 = 1010000  ( А-ны 3 разрядқа солға жылжыту ) келтіріледі.

Берілген көбейту нәтижесін алу үшін жеке көбейтінділерді қосу қажет болады:

       1110110

       1010000

       -----------

       1000110 = 26 + 22 + 21 = 64 + 4 + 2 =70.

 

6.5 суретте тез көбейту алгоритмін іске асыру сызбасы көрсетілген

 

 6.5 сурет  – Тез көбейту сызбасы

 

 А көбейгіші (-2А, -А, 2А), сызбалық тарату қажет етпейтін  А-ның өзінен және нөлден басқа жеке көбейтінділердің барлық мүмкін варианттарын дайындайтын бірнеше түзеткіштер қатарына келіп түседі.  В көбейгіші ЛТ логикалық түрлендіргіш ретінде  түсіп, разрядтар үштіктерін сараптайды, оларды кодтайды және оларды  мультиплексорларға  (MUX) жеке көбейтінділердің сол немесе басқа варианттарының сигналдары түрінде береді

 ( 6.2 кестесін қара). Қорытынды нәтиже олардың разрядтық тордағы өзара ығысуын ескере отырып,  жеке көбейтінділерді қосу арқылы алынады. Көбейткіш өлшемділігі «4х4».

 

 

7 Дәріс. Триггерлер және  регистрлер

 

7.1 Триггерлер

 

Триггерлер – құрамына сақтау элементі (фиксатор) және басқару сызбасы кіретін элементар автоматтар.  Фиксатор  бір-бірімен  "айқасу" бойынша біреуінің шығысы екіншісінің кірісімен байланысқан екі индикатордан тұрады. Мұндай қосылу екі тұрақты күйлі тізбек береді ( 7.1 суретті қара). Егер  инвертор 1 шығысында   логикалық нөл болса, онда ол инвертор 2 шығысында логикалық бірді тудырады және керісінше болады.

 

 

  7.1 сурет Асинхрондық RS-триггерінің сызбасы

 

Орнатылған сигналдар 7.1 суретте үзік сызықтармен көрсетілген. Латын алфавитінің  R әрпімен ( Reset дегеннен ) триггерді 0-ге қою (ауытқу) сигналы  белгіленген, ал  S  әрпімен ( Set дегеннен) – 1-ге қою  логикалық күй сигналы белгіленген . Триггер күйі  Q сияқты болып белгіленетін  тура шығыс мәні бойынша   немесе екінші шығысты  инверсерлік сигналымен есептеледі.  RS-триггері үшін НЕМЕСЕ-НЕ таңдалған сигналы   1 болып табылады, себебі тек қана ол логикалық элементті   0-ге элементтің басқа шығыстарындағы сигналдардан тәуелсіз келтіреді ( 7.1, а суретті қара).  RS-триггер үшін   элементтердегі ЖӘНЕ-НЕ таңдалған сигналы нөлдік болып табылады ( 7.1, б суретті қара).

 

7.1.1 Триггерлерді кластарға бөлу.

Триггерлер логикалық  құрылу принциптері мен ақпаратты жазу тәсілдеріне байланысты бірнеше түрге бөлінеді.

Логикалық құрылу принциптеріне  қарай  триггерлерді  RS, D, T, JK және т.б. түрлерге  бөледі. Сонымен қатар, бір уақытта бірнеше типтер орын алатын аралас триггерлер және күрделі кірісті логикалы триггерлер (кіріс топтарымен, бір-бірімен өзара  логикалық тәуелділікте болатын) қолданылады.

 RS түріндегі триггердің екі кірісі болады бірге орнату  (S) және нөлге орнату (R). S орнату  және  R лақтыру сигналдарын біруақытта беруге рұқсат етілмейді (сигналдардың бұл  комбинациясы тиым салынған деп аталады).

  D түріндегі триггер ( Delay сөзінен алынған  - ұстау) бір кірісті болып келеді. Оның күйі  кіріс сигналын қайталайды, бірақ тактілік сигналымен кешігу анықталады.

Т түріндегі триггер әрбір кіріс сигналы түскен сайын өзінің күйін өзгертіп отырады. Бір кірісі бар триггер есептегіш кірісті немесе есептегіш триггерлер  деп аталады.

 JK түріндегі триггер  (J)  орнату кірістері және RS триггерінің кірістеріне ұқсас (К)  лақтыру  кірістері бар универсалды триггерлер болып келеді. Соңғылардың  айырмашылығы бірден екі кіріске  (J = К = 1) сигналдарды беру  мүмкіндігіне ие болып табылады. Бұл  режимде үшінші (тактілі) кіріске қатысты есептегіш триггер ретінде  жұмыс істейді.

Аралас триггерлерде бірнеше режим қатар жұмыс жасайды. Мысалы, RST түріндегі есептегіш  триггері, сондай-ақ оның  орнату және лақтыру кірістері де бар .

Мысал ретінде,  күрделі кірісті  логикалы триггер ретінде  J1J2J3 және К1К2К3, топтық  кірістері коньюкциялық операциямен қосылған JK-триггерін алуға болады:

.

Триггерлер  ақпаратты жазу тәсіліне байланысты асинхрондық (тактілік емес) және синхрондық (тактілік) болып екіге бөлінедіі. Тактілік емес асинхрондық триггерлерде  жаңа күйге өту кіріс ақпараттық сигналдарының тікелей өзгеруі арқылы шақырылады. Арнайы кірісі С (Clock сөзінен шыққан) бар,  тактіленетіндерде  осы кіріске тактілік сигналдарды беру арқылы өту жүреді. Тактілік сигналдар, сондай-ақ  синхрондалған, орындалған, командалық және т.б деп аталады.

Тактілік  сигналдарды қабылдау тәсіліне қарай - деңгеймен басқарылатын және фронтпен басқарылатын болып бөлінеді. Деңгеймен басқарылу деген,  тактілік сигналдың бір деңгейінде триггер кіріс сигналдарын қабылдайды және оларға ықпал етеді, басқасында қабылдамайды және өзгермейтін күйде қалады.

Фронтпен басқарған кезде ауысуға тактілік сигналдың түсу мезетінде (оның фронтында немесе түсуінде) рұқсат етіледі.

Фронтпен басқарылатын триггерлерді, сондай-ақ динамикалық басқарулы триггерлер деп те атайды. Динамикалық кіріс тура немесе инверсілік болуы мүмкін. Тура динамикалық басқару тактілік сигнал нөлдік мәнінен бірлікке ауысуына рұқсат беруді көрсетсе, инверстілік- тактілік сигналдың бірлік мәнінен нөлдік мәнге өзгеруінде қайта қосуға рұқсат береді.     

 

7.1.2 Триггерлердің сызбатехникасы.

Бір жағынан RS мен D триггерлері  мен екінші жағынан   Т, JK триггерлерінің арасында   айтарлықтай айырмашылық бар.  RS, D-триггерлер ажыратылған құрылымды болып келсе, ал Т, JK-триггерлері  кері байланысты тұйықталған құрылымды, яғни шығыс сигналдарын өздерінің кірістеріне әсер ету үшін қолданады.

D-триггер RS триггерінен алынады, егер S кірісіне  D мәнін берсе, ал R кірісіне оның инверсиясын аламыз (7.5,а суретті қара). Ақпараттық сигнал тек С синхроимпульсті мезетінде есте сақталады (7.5,б суретті қара).

 

 7.5 сурет  – D-триггер сызбасы  (а) және уақыттық  диаграмма (б)

 

Т-триггер RS триггері негізінде құрылады (7.6,а суретті қара). Бұл жағдайда есептегіш кіріс ролін   С тактілеу кірісі атқарады.

 

7.6 сурет  – Т-триггер сызбасы

 

Тактілеу кірісі бойынша ақпаратты қабылдаудың әрбір рұқсат етілуінде СТ – триггер кері байланыстар бойынша қарама-қарсы күйді қабылдайды, яғни қайта қосылады. Т-триггерін осыған ұқсас әдіспен D-триггерінің негізінде де алуға болады (7.6,б суретті қара). 

Есептегіш Т-триггердің жұмыс қабілеттілігі қарастырылған құрылымда мөлдір емес триггерді  (екісатылы немесе динамикалық басқарымды) немесе ішкі кешігулердің қолданылуымен  қамтамасыз етіледі.

JK-триггер сызбасы (7.7 суретті қара) 7.1 кестесіне тәуелді.

 

 

 7.7 сурет  – JK-триггер сызбасы

 

7.1 кесте  – JK-триггер ақиқаттылығының кестесі

J

K

Qн

0

0

Q

0

1

0

1

0

1

1

1

 

Генерация режимін болдырмау үшін, есептегіш Т-триггерлері үшін де, бұл жерде екісатылық типті  және динамикалық басқарымды RS-триггерін қолдану қажет.

 

7.2 Регистрлер

 

7.2.1 Регистрлерді кластарға бөлу.

Регистрлер көптеген байланысқан сөз құрайтын айнымалылармен жұмыс істейді. Сөздермен бірнеше операция: қабылдау, беру, сақтау, разрядтық торға ығысу, разрядты логикалық операциялар орындалады.

Регистрлер триггерлер мен логикалық элементтері бар разрядтық сызбалардан тұрады.

Айнымалыларды жеткізу сызықтарының санына байланысты регистрлер бір фазалы және жұпфазалы, синхрондау жүйесіне байланысты біртактілік, екітактілік және көптактілік болып бөлінеді.

Бірақ ең басты жіктеу белгісі мәліметтерді қабылдау және беру әдісі болып табылады.

Осы белгісіне байланысты регистрлер параллель (статикалық), тізбекті (жылжымалы) және параллель-тізбекті болып бөлінеді.

Параллель регистрлерде сөзді қабылдау және жіберу барлық разрядтар бойынша біруақытта жүреді. Оларда разрядтық логикалық түрлендіруге ұшыраған сөздер сақталуы мүмкін.                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                           

Тізбектік регистрлерде сөз разрядтан разрядқа қабылданады және бөлінеді. Оларды ығыстырушы деп атайды, себебі сөздерді кірістіргенде және шығарғанда тактілейтін сигналдар оларды разрядтық тор бойынша жылжытады. Жылжытушы регистр екі бағытты емес (бірбағытты ығысумен) немесе (екі бағытты ығысу мүмкіндігімен) екі бағытты болуы мүмкін.

Тізбектік-параллель регистрлер біруақытта тізбекті және параллельді түрлі кіріс шығыстары болуы мүмкін. Тізбекті кірісі және параллель шығысы бар (SIPO, Serial Input - Parallel Output), параллель кірісі және тізбекті шығысы бар (PISO) варианттары  болуы мүмкін.

Параллель (статикалық) регистрлерде разрядтар сызбалары мәліметтермен өзара алмаспайды. Разрядтар үшін жалпы болып тактілеу тізбектері, ауытқу/қою, шығыс және қабылдау ажыратулары, яғни басқару тізбектері табылады.

Тура динамикалық кірістерімен Д-триггерлерде қарастырылған, R ауытқу шығыстары және EZ сигналдарымен басқарылатын үшінші күйлі шығыстары болатын статикалық регистр сызбалары 7.8 суретте көрсетілген.

Қазіргі схема техникасы үшін регистрлерді Д-триггерлерде қарастырған сипатты болып отыр. Көптерінен үшінші күйлі шығыстары бар, кейбір регистрлер буферліктер қатарына жатады, яғни үлкен сыйымдылықты немесе төменомдық активті жүктемелермен жұмыс істеуге есептелген. Бұл олардың тікелей магистралда жұмыс жасауын қамтамасыз етеді (қосымша интерфейс схемаларынсыз).

 

 

 7.8 сурет Статикалық регистр сызбасы  (а) және оның шартты белгіленуі (б)

 

7.2.2 Ығыстырушы регистрлер.

Тізбектік (ығыстырғыш) регистрлер тасымалдау тізбектерімен байланысқан разрядтық сызбалар тізбегі болып келеді.

Бір разрядқа оңға ығысулы біртактілі регисторда (7.9, а суретті қара) сөз синхросигнал түскен кезде ығысады. Кіріс және шығыс тізбекті (DSR- Data Serial Right). 7.9,б суретте солға қарай ығысулы регистр сызбасы көрсетілген  (DSL - Data Serial Left кіріс мәндері). 

 

7.9 сурет – Регистрдің оңға  (а) және солға (б) ығысу сызбалары

 

7.10 суретте реверсирленген регистрдің құрылу сызбасы келтірілген, оларда екі көрші разрядтармен триггерлер байланыстары бар, бірақ сәйкес сигналдармен жұмыс жасауға осы байланыстардың тек біреуімен ғана рұқсат етіледі.

 7.10 сурет  – Реверсивті  регистр сызбасы

 

 

8 Дәріс. Екілік есептегіштер

 

Есептегіштерге кіріс импульстары әсерінен бір күйден екінші күйге көшкенде оларға түсетін импульстарда сол немесе басқа кодта тіркейтін автоматтарды жатқызады. Негізгі операциясы есептегіш құрамын бірге өзгерту болып табылады. Мұндай бірлікті қосу –  инкрементация (INR) операциясына, ал алу -  декрементация ( DCR) азайту  операциясына сәйкес келеді.

Есептегіштер М есептеу модулімен сипатталады, олар мүмкін күйлер санын анықтайды. Есептегішке М кіріс сигналдары түскеннен кейін алдыңғыны қайталайтын жаңа цикл басталады.  

 

8.1 Есептегіштерді кластарға бөлу

 

Ішкі күйлерін кодтау тәсілдеріне қарай екілік есептеуіштер , Джонсон есептеуіштері, «N-нан 1» коды бар есептеуіштерді және басқаларды айтамыз.

Есептеу бағытына қарай есептегіштер: қосушы (тура есептеу), азайтушы (кері есептеу) және реверсивті (есептеу бағытын өзгерту) болып бөлінеді.

Автоматтардың сол және басқа кластарға жатқызуларына байланысты синхрондық және асинхрондық есептегіштер туралы айтылады.

Есептегіштер разрядаралық байланыстары бар разрядтық сызбалардан құрылады.

Сәйкесінше осы байланыстардың құрылуына қарай оларды тізбекті, параллель және аралас тасымалдаулары бар есептегіштер деп бөледі.

Есептегіш жұмысының мүмкін режимдері.

-         Есептегішке келіп түскен сигналдар санын тіркеу.

-         Жиіліктерді бөлу.

         Бірінші режимдегі нәтиже – шығыс сигналдарының екінші режимдегі есептегіштегі құрамы есептегіш импульстарының толып кетуі болып табылады.

Есептегіштің тез әсерлігі ондағы жаңа күйдің орнау уақытымен (бірінші режимі), сондай-ақ кіріс сигналдарының максимал жиілігімен fmax  сипатталады. Кез-келген автомат сияқты, есептегішті кез-келген типті триггерлерде құрастыруға болады, бірақ бұл үшін Т- типті (есептегіш) және J = К = 1 болғанда есептеу режимінде болатын JK типті триггерлерді пайдаланған ыңғайлы.

Есептегіш күйі разрядты сызбалардың шығыстары бойынша Qn-1Qn-2…Qo, сөзі сияқты кіріс сигналдары есептегіштің кіші разрядына келіп түседі.    

 

8.2 Екілік есептегіштер

 

8.2.1 тізбекті және параллельді есептегіштер

Екілік есептегіш М = 2n модуліне ие болатын есептегіш, мұндағы  n – бүтін сан. Есептегіш триггер кіріс импульстарының жиілігін екіге бөледі. Сондықтан есептегіш тізбектей жалғанған есептегіш триггерлердің тізбегі түрінде құрылуы мүмкін (8.1,а суретті қара). Есептегішті Т-триггерлер тізбегі ретінде көрсету қосу, сол сияқты азайту варианттары үшін де дұрыс, себебі разрядтарды ауыстыру жиілігіне қатысты заңдылық кестені жоғарыдан төмен (тура есеп), сол сияқты төменнен жоғары (кері есеп) қараған кезде де сақталады.

Бұл кездегі айырмашылық келесі ауыстыруды шақыратын алдыңғы разрядты ауыстыру бағытында болып отыр. Тура есептеу кезінде келесі разряд алдыңғы 1-0 бағытта өткенде, ал кері болғанда 0-1 бағытта өткенде қайта қосылады.

 

  8.1 сурет Тізбекті есептегіш құрылымы (а) және оның тура динамикалық басқару триггерлердегі іске асуы (б)

 

Сонымен варианттар арасындағы айырмашылық триггерлер кірістерін алдыңғылардың шығыстарына әртүрлі қосуда болып отыр. Егер тура динамикалық басқарулы есептегіш триггерлерде тұрғызылса, онда қосылу сипаты келесі триггерлердің алдыңғыға қосылу сипаты тура және кері есептеу есептегіштерін алу үшін 8.1,б суреттегі сызбаға сәйкес келуі керек.

Тура және кері есептеу варианттарының айырмашылығынан реверсивті есептегішті құру тәсілі шығады, басқарушы сигнал әсерінен сигналды алу нүктесін триггерден қарама-қарсы шығысқа және-немесе-не , және-немесе суретте көрсетілген элементі арқылы көшіру.

Максималды тез әрекетке паралельді тасымалдауышы бар синхронды есептегіштер ие. Фронтпен басқарумен триггерлерде ұйымдастырылған параллельді тасымалдауышы бар соммалық синхронды есептегіштің құрылымы (8.3 суретті қара).

 8.3 сурет Тура есептеу параллель есептегішінің сызбасы

 

8.2.2 Топты құрылымдық есептегіштер.

Үлкен разрядты параллель есептегіштерді құрастыруға шектеулерге байланысты топты құрылымдық есептегіштер кең өріс алып отыр, онда есептегіш топаралық тасымал тізбектерімен байланысқан топтарға бөлінеді (8.4  суретті қара).

           

 8.4 суретТопты құрылымдық есептегіш сызбасы

 

Топтың барлық триггерлерінің бірлік бұл жағдай кіріс сигналын келесі топқа тура  жіберуге топаралық коньюктор даярлайды. 

Егер топ разрядтылығын кемітіп және синхронды Т-тиггерлерін пайдаланса, онда тізбекті тасымалды синхронды есептегіш сызбасы алынады (8.5 суретті қара).

 

 8.5 суретТізбекті тасымалды есептегіш сызбасы

 

Сызба синхрондықтар қатарына жатады, себебі барлық триггерлер бір кіріс сигналы әсерінен біруақытта жұмыс істейді. Осы сызбаның кіріс сигналына тез реакциясы көрініс табады: ол параллель тасымалды есептегіштегі сияқты болады. Бірақ кіріс сигналдарының ең үлкен жиілігі бойынша бұл сызба параллель тасымалды сызбадан едәуір нашар болып түседі.

 

8.3 Кез-келген модульді екілік-кодталған есептегіштер

 

2 санының бүтін дәрежесіне тең емес, яғни кез-келген модульді есептегіш бірнеше әдістер негізінде іске асырылады. Осындай екілік есептегіштің  2n - М = L шығарып тастауға жататын артық жағдайлары бар.

Артық жағдайларды шығарып тастау әдістері сан алуан және кез-келген М үшін есептегіштің  көптеген мүмкіндіктерін қарастыруға  болады. Бірінші күйлердің небір санын шығару арқылы есептегіштің алғашқы нөлдік күйін аламыз, бұл есептің табиғи ретінің жоқтығына және есептегіште артық кодты тіркеуге әкеліп соғады. Соңғы күйлерді шығарып тастау есептеудің табиғи ретін сақтауға мүмкіндік береді.

Кез-келген модульді есептегіштерді құрудың 2 тәсілін қарастыралық: разрядаралық модификациялы байланыстар және ауытқуды басқару.

Модификациялық разрядаралық байланысты есептегіштерді құрастыру кезінде, соңғы, артық күйлер есептегіштің жұмыс істеу кестелерінен тікелей шығарылып тасталады. Осы кезде сызбаны құрғаннан кейін автоматтар синтезі  үшін кәдімгі әдіспен есептегіш алынады, оның спецификасы стандартты емес функцияларда триггерлердің қозуы және сәйкесінше, триггерлердің арасындағы стандартты емес байланыста болып отыр. Осы оның атауын да түсіндіреді.

 

8.3.1 Есептегіштерді құрудың бірінші тәсілі.

Бірінші әдіс бойынша есептегіштерді құруды М = 5 арналған мысалмен көрсетейік, 8.1 кестеден басталық.

 

8.1 кесте

Бастапқы күйі

Келесі күйі

Қоздыру функциясы

Q2

Q1

Q0

Q2

Q1

Q0

J2      K2

J1      K   

J0      K0     

0

0

0

0

0

1

0       X

0       X

1       X

0

0

1

0

1

0

0       X

1       X

X       1

0

1

0

0

1

1

0       X

X       0

1       X

0

1

1

1

0

0

1       X

X       1

X       1

1

0

0

0

0

0

X       1

0       X

0       X

 

Триггерлердің қозу функцияларын табуда 8.2 кестенің «сөздігі» қолданылған.

 

8.2 кесте

Ауысу

J         K

0→0

0         X

0→1

1         X

1→0

X         1

1→1

X         0

 

Ерікті Х сигналының символының орнына кез-келген айнымалыны (0 немесе 1) қоюға болады. 8.1 кестенің негізінде жазалық:  (Jбағанында бар болғаны бір бірлік қалдырылған), J1 = Q0, . Ki (i = 0, 1, 2) функциясы үшін сигнал көздеріне азырақ салмақ түсіру үшін тұрақты сандардың көбірек болатын вариантын таңдалық.  К2= 1, К1 =J1 и К0 = 1 деп қабылдаймыз.

Есептегіш сызбасы 8.6-суретте келтірілген.

Есептегіштің жобаланған жүйесінде артық күйлер олар есептегіштің қалыпты жұмысында пайдаланылмайтындықтан алынып тасталған. Бірақ бұзылуларда немесе сызбаға қоректену кернеуінің берілуінен кейін оның жұмысының басында артық күйлер орын алуы мүмкін.

 8.6 суретМодулі 5 болатын есептегіш сызбасы

 

Әрбір артық күйді алып, олардың келесі күйлерге өтуін анықтайтын триггерлердің қозу күйін анықтаймыз. Қажет болған жағдайда дәл осы әдіспен келесі ауысымды  да және т.б анықтаймыз. Алынған мысал үшін артық болып 101, 110 және  111 күйлері табылады.

101 жағдайында  Q2 = 1, Q1 = 0 және Q0 = 1. Триггерлердің қозу фунциясын біле отырып, J0 = 0, К0 = 1, J1 = K1 = 1, J2 = 0, К2 = 1 екендігін анықтаймыз.  Демек, 0 және 2 триггерлері лақтырылады, ал триггер 1 ағымдағы күйге қарама-қарсыға қайта қосылады және 101 артық күйінен есептегіш 010 күйіне өтеді. Ұқсас әдіспен 100 және 111 күйлеріне нәтижелер алуға болады. Нәтижесінде есептегіштің күй диаграммасын тұрғызуға болады (ауысымдар графигі), мұнда тек жұмыс циклі  (күйлер - айналмалар) ғана емес, қолданылмайтын күйге түскен (тікбұрыштар) автоматтар мінезі есепке алынған. Мұндай диаграмма 8.7 суретте көрсетілген.

 

 8.7 суретМодулі 5 болатын есептегіштің күй диаграммасы

 

Диаграммадан қарастырылған есептегіштің өздігінен қосылу қасиеті бар екендігін көре аламыз – бастапқы жағдайына тәуелсіз ол жұмыс цикліне жұмыс басталғаннан кейін келеді.

 

8.3.2 Есептегішті құрудың екінші тәсілі.

Кез-келген модульді есептегішті құрудың екінші әдісі – лақтыруды басқару әдісі  - есеп модулін есептегіштің сызбасын өзгертуді қажет етпейтін өте қарапайым әдіспен модуль есебін өзгертуге мүмкіндік береді.

Параллельді ауыстыруы бар синхронды есептегішті енгізуде қолдануға байланысты  әдісті қарастырайық (8.3 суретті қара). Аталған типті екілік есептегіштің қозу функциясы, біз білетіндей, мына түрге  Jj = Kj = Q0Q1…Qi-1 (J0 = K0 = 1 кіші триггерде) келтірілген. Бұл функцияларға оларды келесідей өзгертіп, лақтыру сигналы  R енгізейік:

,

Кi = Ji v R.

 

 8.8 сурет  – Лақтыруды басқаруы бар есептегіштің сызбасы

 

Сигнал болмағанға дейін (R = 0), Jj и Kj функцияларының сәйкес екілік есептегіш функцияларынан айырмашылығы болмайды. R сигналы бірлік мәніне ие болғанда, Ji барлық  функциялары нольдік,  Kj бірлік болады, бұл барлық келесі такті келгенде лақтырылуына мәжбүрлейді.

Егер  R есептегіште М-1 санының пайда болуы салдарынан орын алса, онда есептің  0, 1, 2,..., М-1, 0... кезегі, яғни М модульді есептегіш жүзеге асырылады.

Лақтыруды басқаруы бар есептегіштердің барлық разрядтарының сызбасы есеп модуліне тәуелді болмайды. Разрядты сызбалардан басқа, М-1 есептегіш мәніне жеткенде лақтыру сигналын қамтамасыз ететін бір коньюктор болады (8.8 суретті қара).

 

 

9 Дәріс. Есте  сақтау құрылғылары

 

9.1 Есте сақтау құрылғыларының классификациясы

 

Есте сақтау құрылғыларын классификациялаудың маңызды белгісі мәліметтерге рұқсат алу әдісі болып табылады.

Мекен-жайлық  рұқсат кезінде мекен-жайлық кірістегі код алмасу жүретін торшаны көрсетеді. Мекен-жайлық жадының барлық ұяшықтарына айналу мезетінде бірдей қол жетерлік болады.

Мекен-жайлық есте сақтау құрылғылары  RAM (Random Access Memory) және  ROM (Read-Only Memory) болып бөлінеді. Терминдердің орысша аударылған синонимдері  RAM: ОЕСҚ (оперативті есте сақтау құрылғылары) немесе ЕТБЕСҚ (ерікті таңдауы бар  есте сақтау құрылғылары). Оперативті есте сақтау құрылғылары ерікті уақыт мезетінде өзгертіле алатын, ағымдағы бағдарламаны жүзеге асыру кезінде ауыстыруға қатысатын мәліметтерді сақтайды. Оперативті есте сақтау құрылғыларының есте сақтау элементтері энергиялық тәуелсіздікке ие емес.

ROM (аударғандағы эквиваленті – ТЕСҚ, яғни тұрақты есте сақтау құрылғылары) құрылғысында мазмұны мүлдем өзгермейді, немесе өзгерсе де, бірақ өте сирек және арнайы режимде болады. Жұмыс режимі үшін бұл «оқуға ғана арналған жады».

RAM статикалық және динамикалық болып бөлінеді. Бірінші нұсқа бойынша есте сақтау элементі болып триггерлер табылады, олар өз қалпын сызба қорек алып тұрғанда және жаңа жазбалар болмаған жағдайда сақтап тұрады. Екінші нұсқада мәліметтер МОП-құрылымды элементтерден түзілетін конденсатор зарядтарының түрінде сақталады. Конденсаторлардың өздігінен разрядталуы мәліметтердің жойылуына әкеліп соғады, сондықтан олар периодты түрде (әрбір бірнеше миллисекунд сайын) регенерациялануы тиіс. Динамикалық есте сақтау құрылғыларында мәліметтердің регенерациялануы (қалпына келуі) арнайы контроллердің көмегімен жүзеге асырылады.

Статикалық есте сақтау құрылғылары – SRAM (Static RAM), ал динамикалық – DRAM (Dynamic RAM) деп аталады.  

Динамикалық есте сақтау құрылғылары көбірек ақпараттық сыйымдылықпен және бағасының жоғары болмауымен сипатталады, осы себепті оларды ЭЕМ негізгі жады ретінде пайдаланады.

Flash типіндегі жады есте сақтау элементі бойынша EEPROM (немесе басқаша E2PROM) типіндегі жадыға ұқсас, бірақ өзін бөлек түрге бөлуге мүмкіндік беретін құрылымдық және технологиялық ерекшеліктері бар. 

Тізбекті ену есте сақтау құрылғыларында жазылатын мәліметтер белгілі бір кезекті түзеді. Санау сөзбе сөз жазылу кезегімен  немесе кері кезекпен жүзеге асырылады. Бұндай есте сақтау элементтерінің моделі болып мәліметтер көршілес элементтердің арасында алмасатын есте сақтау элементінің кезекті тізбегі болып табылады.

Тура санау реті «бірінші келді –бірінші кетті» тәртібімен жүретін  FIFO буферлерінде  (First In - First Out), сонымен қатар файлды және циклдық есте сақтау құрылғыларында орын алады.   

FIFO  жадысы мен файлды есте сақтау құрылғыларының арасындағы айырмашылық мынада: FIFO жадысындағы бос буферге түскен жазу бірден оқуға ашық болып келеді, яғни тізбектің (есте сақтау құрылғысының моделінің) соңына барып түседі. Файлды есте сақтау құрылғыларында мәліметтер тізбек басына келіп түседі де тізбек элементтерінің санына тең өңдеулерден кейін ғана

 оқылады. Жазылатын мәліметтерді  аяқ жағының және басының арнайы символдарымен белгіленген блоктарға біріктіреді. Файлды есте сақтау құрылғыларынан мәліметтерді қабылдау қабылдауышпен блок басының символы анықталған соң ғана басталады.

Циклдық құрылғыларда сөздерге бірінен кейін біріне жадының сыйымдылығымен анықталатын тұрақты периодпен  қол жеткізуге болады. Жартылай  өткізгішті есте сақтау құрылғыларының ішінде бұндай типке видеожады (VRAM) жатады.

Кері ретпен оқу стекті есте сақтау құрылғыларына тиесілі, олар үшін «соңғы келді – бірінші кетті» тәртібі жүзеге асырылады. Бұндай есте сақтау құрылғыларын  LIFO (Last In — First Out) буферлері деп атайды.

Ассоциативті қол жеткізу ақпаратты өңдеуді кейбір белгілері бойынша жүзеге асырылады, бірақ оның жадыда орын алуы (мекен-жайы немесе кезектегі орны) бойынша емес. Толығырақ версияда жадыда сақталатын барлық сөздер бір уақытта белгіге сәйкес келуі, мысалы, белгілі сөздер өрісінің  (ағылшын тілінен tag сөзінен) кіру сөзімен (типтік мекен-жайымен) берілген белгімен сәйкес келуіне тексеріледі. Шығысқа белгіні қанағаттандыратын сөздер жіберіледі. Заманауи  ЭЕМ-нда ассоциативті жадыны қолданудың негізгі аясы-мәліметтерді жүктеу. 

 

9.2 Есте сақтау құрылғыларының негізгі құрылымдары

 

Статикалық оперативті есте сақтау құрылғылары және ROM типті жадылар үшін 2D, 3D және 2DM құрылғылары сипатты.

 

9.2.1 2D құрылымы .

 2D құрылымында  (9.1 суретті қара) ЕСЭ есте сақтау элементтері   М = k x m өлшемді тік бұрышты матрицаға ұйымдастырылады, мұндағы:

М – жадының ақпараттық сыйымдылығы, бит;

 k – сақталу сөздерінің саны;

 m – олардың разрядтылығы.

 

 9.1 сурет – 2D типті есте сақтау құрылғыларының құрылымы

 

DC адресті кодының ДШ рұқсат беру сигналы CS (Chip Select – микросызбаны таңдау сигналы) бар болған жағдайда таңдалған жолдың барлық элементтеріне бір уақытта қол жеткізуді рұқсат ететін мекен-жайы нөміріне сәйкес келетін сөзді сақтайтын шығу сызықтарының біреуін активтендіреді. Алмасу бағыттары R/W (Read - оқу, Write - жазу) сигналдарының әсеріндегі оқу/жазу күшейткіштерімен анықталады.  

2D типіндегі құрылым тек аз сыймдылықты ЕСҚ қолданылады, яғни дешифратордың шығу саны сақталушы сөздер санына тең.  

 

9.2.2 3D құрылымы.

3D құрылымы есте сақтау элементтерінің екі координатты таңдамасына ие (9.2 суретті қара).

 n разрядты мекен-жай коды екі жартыға бөлінеді, олардың кодтары жеке-жеке шешіледі. Екі дешифратордың шығуларындағы активті сызықтарының қиылысуында орналасқан есте сақтау элементі таңдалады. Бұндай қиысулар болады

.

Екі дешифратордың шығыстар санының қосындысы

,

          бұл  n  нақты мәндеріндегі   2n қарағанда әлдеқайда аз.

 

9.2 сурет – 3D типіндегі бірзарядты ұйымның есте сақтау құрылғысының құрылымы 

9.2.3 2DM құрылымы.

2DM  құрылымды ROM типті  (9.3 суреттен қара) ЕСҚ  бүтін жолды таңдайтын DCx  дешифраторларынан мекен-жайы бар есте сақтау элементтерінің матрицасы үшін.

               

 

 9.3 сурет  – ROM арналған 2DM типті есте сақтау құрылғысының құрылымы

 

Алайда 2D құрылымына қарағанда жол ұзындығы сақталушы сөздердің разрядтылығына тең емес, ал оны көптеген есе көбейтеді. Матрицаның жолдарының саны азаяды және сәйкесінше DC шығуларының саны азаяды. Жолдардың біреуін таңдау үшін Т адресті кодтардың барлық разрядтары қызмет етпейді, ал олардың бөлігі  An-1... Ak. Басқа разрядты адрестік басқа разрядтары (Ak-1…A0) жолда болатын көп сөздердің ішінен қажетті сөзді таңдау үшін қолданылады. Бұл адресті кірістеріне  Ak-1…A0 коды берілетін мультиплексорлар көмегімен жүзеге асырылады. Жол ұзындығы m2k тең, мұндағы,  m – сақталушы сөздердің разрядтылығы. Ұзындығы  2k жолдың әрбір «кесігінен» мультиплексор бір бит таңдайды. Мультиплексорлар шығысында шығу сөзі қалыптасады. Үш күймен басқарылатын буферлердің ОЕ кірісіне түсетін CS сигналының рұқсат етілуіне  байланысты шығу сөзі сыртқы шинаға беріледі.

 

 

10 Дәріс. ПЛМ және  ПМЛ

    

Ақпаратты сандық өңдеу жүйелеріне процессор, жады, перифериялық құрылғылар және интерфейстік схемалар кіреді. Жүйеде стандартты бөліктермен қатар, оны өңдеу үшін арнайы жабдықталған кейбір стандартты емес бөліктер де бар. Бұл блоктарды басқару олардың өзара әсерін қамтамасыз ету және тағы басқа схемаларына қатысты болады. Жүйелер үшін интеграция деңгейі жоғары арнайы АҚ тапсырыс беру қиындау, себебі бұл өте үлкен құралдар шығындары мен  ҮИҚ/ҮИҚЖ жобалауда көп уақытты қажет етеді.    Туындаған қарама-қайшылық бағдарламаланған және қайта бағдарламаланған құрылымды ҮИҚ/ҮИҚЖ құрастыру барысында шешімін тапты.

БИС/СБИС құрастыру жолдарында және қайта бағдарламалау құрылымында шешімін тапты.

Көрсетілген бағыттағы АҚ ең алғашқы өкілдері болып ПЛМ (PLA, Programmable Logic Array), бағдарламаланатын матрицалық логика ПМЛ (PAL, Programmable Array Logic) және вентильдік матрицалар ВМ (GA, Gate Array) деп аталатын базалық матрицалық кристалдар  БМК келеді.

PLA және PAL ағылшын терминологиясында PLD (Programmable Logic Devices) терминімен біріктіріледі.

Бағдарламаланған және қайта бағдарламаланған құрылымды ҮИҚ/ҮИҚЖ  дамыту бағыттарының қолайлы болуы соншалық, CPLD (Complex PLD), FPGA (Field Programmable GA) және  SPGA (System Programmable GA) сияқты сандық жүйелерді өңдеудің жаңа тиімді құралдарын құрастыруға әкеліп соқты.

Заманауи бағдарламаланған және қайта бағдарламаланған құрылымды ҮИҚ/ҮИҚЖ  аясында біркристалды бүтін жүйені жасау мәселесі де шешімін тапты.

 

 10.1 Бағдарламаланған логикалық матрицалар (ПЛМ)

 

ПЛМ негізі ЖӘНЕ және НЕМЕСЕ  бағдарламалық матрица элементтерінің тізбектілігі болып табылады. Құрылымға сондай-ақ кіріс жән шығыс буферлік (Бкір және Б\шығ) каскадтарының блоктары кіреді. ПЛМ-ның негізгі параметрлері  m кірістер саны, терімдер саны (коньюкция) L  және шығыстар саны n   болып табылады (10.1 суретті қара).  

 

 

10.1 сурет  – ПЛМ базалық құрылымы

 

Кіріс буферлері бірфазалы кіріс сигналдарын жұпфазалыққа түрлендіреді және И матрица элементтерін қоректендіру үшін қажетті қуатты сигналдар тудырады. Шығыс буферлері шығыстардың қажетті жүктемелік қабілеттілігін қамтамасыз етеді, ПЛМ сыртқы шиналарға шығысы ОЕ сигналы көмегімен рұқсат етіледі және тыйым салынады.

ПЛМ қайта шығарылатын функциялардың екі деңгейлі логикасын (ДҚТ) іске асырады. Қайта шығарылған функциялар И матрицасынан құралған кез-келген терімдер санының жиыны болып табылады.   Қандай терімдер өңделетін болады және осы терімдердің қандай жиыны шығыс функцияларын құрайтыны ПЛМ бағдарламалауымен анықталады.

 

10.1.1 ПЛМ сызбатехникасы.

ПЛМ биполярлық технология негізінде, сол сияқты МОП-транзисторлары негізінде де шығарылады. Матрицаларда көлденең және тік байланыс жүйелері бар,  бағдарламалауда олардың қиылысу түйіндерінде байланыс элементтері түзіледі немесе жойылады.

10.2 суретте жалғамаларды жалғауды бағдарламаланған биполярлық (буферлері жоқ)    ПЛМ К556РТ1 сызбатехникасында көрсетілген.

 

 

10.2 сурет – ПЛМ сызбасы

 

Функциялар жүйесін қайта шығару фрагменті келтірілген

;

;

.

 

өлшемділігі  4, 7, 3. К556РТ1 микросхемасының параметрлері болып 16, 48, 8 табылады. И матрицасындағы байланыс элементтері қызметін 10.3 а суретте көрсетілген көлденең және тік шиналарды жалғастыратын, t1 терімін өңдеу тізбектерін  бейнеленетін диодтар атқарады. Резистор және қоректену көзімен

бірге терімдерді өңдеу тізбектерін кәдімгі И диодтың сызбалары құрайды.  Бағдарламалау кезінде сызбада тек қажетті байланыс элементтері ғана қалдырылады,  ал қажет еместері жалғамаларды жалғау арқылы жойылады.  Аталған жағдайда коньюктор кірісіне   берілген. Шығыс кернеуінің жоғары деңгейі (логикалық бірлік) барлық кірістерде жоғары кернеу болған кезде ғана пайда болады.  

Термдер сызықтарына қатысты эмитерлік қайталағыш сызбасы бойынша және шығысқа (көлденең сызықтар) қатысты НЕМЕСЕ схемасын құрайтын транзисторлар  (10.3, б суретті қара)  НЕМЕСЕ  матрицасында байланыс элементтері қызметін атқарады.  

Қайта бағдарламаланған матрицаларда байланыс элементтерінің (бүтін жалғамалар) болуы сәйкес түйінде нүктемен белгіленеді.

  10.3 сурет  – ЖӘНЕ  (а) және НЕМЕСЕ (б) матрицаларының сызбасы

 

МОП – транзисторлар сызбасында базалық логикалық ұяшық ретінде инвертирлеуші (НЕМЕСЕ-ЕМЕС, ЖӘНЕ-ЕМЕС) қолданады. Де Морган ережесі биполярлы ПЛМ және МОП транзисторындағы ПЛМ  функционалдық сипаттамаларының іс-жүзінде сәйкес келуі туралы  айтады: егер соңғының кірістеріне биполярлық ПЛМ аргументеріне қатысты инвертирленген аргументтер берсек, онда  оның шығысында алынған нәтиже биполярлық ПЛМ шығысынада тек инверсиясымен  ғана ажыратылады.  

Осы жағдайда терім tмынаған тең:

,

ал функция:

.

Терімдер саны  ПЛМ көрсетілген  параметрлеріне L – тең болған деңгейге дейін терімдер саны бойынша минимал функцияларды іздеуді жүргізу керек.  Ары қарай азайту қажет етілмейді. Егер  көрсетілген ПЛМ өлшемділігі берілген түрдегі есепті шешуді қамтамасыз етсе, онда азайту мүлдем қажет етілмейді.

ПЛМ схемаларын көрсетуді қысқарту үшін олардағы  ЖӘНЕ, НЕМЕСЕ көпшығысты  элементтерін  шартты түрде біршығыстыларымен  ауыстыру қолданылады.   Осындай элементтердің жалғыз кірісі кіріс айнымалыларының бірнеше сызықтарымен қиылысады.  Егер қиылысу нүктемен белгіленсе, онда аталған айнымалы бейнеленетін элемент кірісіне беріледі, егер нүкте жоқ болса, онда айнымалы элементке берілмейді. 

ПЛМ көмегімен ауыстырып-қосқыш функцияларының дизьюнктивті қалыпты түрлерін ғана шығарып қоймай, жақшалық түрлерін де шығаруға болады.  Бұл жағдайда әуелі жақшадағы өрнектерді алады , содан соң оларды соңғы нәтиже алу үшін аргумент ретінде қарастырады. Сызбада  кері байланыстар пайда болады – аралық нәтижелер шығыстан қайтадан кіріске беріледі. Мысалы, мына функцияны алу керек болсын:

.

Ол үшін ПЛМ мына сызба бойынша қосылуын  қолдану керек (10.4 суретті қара).

 

10.4 сурет Оперативті жүйесі бар ПЛМ сызбасы

 

10.2 Бағдарламаланатын матрицалық логика  (ПМЛ)

 

ПЛМ-да (10.5 суретті қара) ЖӘНЕ элементтерінің  шығыстары (бірінші матрица шығыстары) НЕМЕСЕ элементтерінің  (НЕМЕСЕ матрицасының шығыстары) арасында қатаң таратылған.

 

 

 10.5 сурет –  ПМЛ сызбасы

 

Көрсетілген ПМЛ-да m кірістері, n шығыстары және   И элементінің 4n элементтері  бар, себебі әрбір НЕМЕСЕ элементіне төрт конъюктордан беріледі.  

ПЛМ-мен  салыстырғанда  ПМЛ сызбалары  аз функционалдық иілгіштікке ие, себебі оларда НЕМЕСЕ матрицасы бекітілген, бірақ олардың жасалуы және қолданылуы қарапайымырақ.

 ПМЛ үшін әрбір шығыс үшін ЖӘНЕ элементтер санын азайтқан маңызды, бірақ егер ПЛМ үшін функцияны беру де жалпы терімдер саны көп болатын жағдайға ұмтылса, ал ПМЛ үшін бұл қажет емес, себебі ЖӘНЕ функциялары өз шығыстарына бекітілген және басқа шығыстармен қолданыла алмайды (яғни басқа функциялар үшін).

 

10.3 Базалық матрицалық кристалдар

 

Жартылай тапсырысты БИС/СБИС дамыту олардың бірнеше түрлерінің пайда болуына әкеліп соқты. БМК қатысты – бұлар каналды, каналсыз және блокты архитектуралар. БМК термині орыс тіліндегі әдебиеттерге тән. Ағылшын терминологиясында GA (Gate Array) термині алынған, яғни аударғанда – вентилді  матрица дегенге сәйкес келеді.

Бірінші ұрпақ БМК негізі – кристалды ретпен орналасқан базалық ұяшықтардың (БҰ)  жиынтығы, олардың арасындағы еркін зоналар қосылуларды (каналдарды) жасау үшін арналған бос зоналар бар. Бұл архитектура каналдық деп аталады.

Базалық ұяшықтар БМК ішкі облысын алып жатады. Онда олар жолдар мен бағандар бойынша орналасқан және олардың құрамында коммутирленбеген элементтер (транзисторлар, резисторлар және т.б) тобы бар. Кристалдың периферийлік облысында кіріс/шығыс ұяшықтары, сызбалық құраушылар жиыны орналасқан, олар БМК сыртқы тізбектерден байланысын іске асыруға арналған.

Осылайша ПМК қажетті қосылыстарды іске асыра отырып, талап етілетін схемаға айналатын алдын-ала дайындық болып табылады. Қолданушы ПМК негізінде кристалға қосылысатын компоненттердің сол немесе басқа суретін бере отырып, белгілі бір класты қондырғылардың көбін жүзеге асыра алады.

Алғашқы БМК (Amdahl Corp. фирмасы, АҚШ) ЭСЛ сызбатехникасында орындалған, оны толық дайындау процесіне фотошаблонды 13 операциядан тұрады.  БМК негізіндегі (осындай сызбаларды МАБИС немесе БМИС) сызбаларды дайындау үшін аралық қосылыстардың суретін беруде 3 жеке (айнымалы) шаблондар қажет болады. Осыған сәйкес МАБИС мерзімдері мен жобалау құны толық тапсырыс берілген БИС/СБИС-қа қарағанда 3-5 есе кем.

Қазіргі уақытта БМК интеграциялау деңгейі кристалда миллион шұраға жетті. БМК жобалау кезінде жақсы жолмен базалық ұяшықтар санын, оларды трассирленген кристалл ресурстарын және сыртқы шығыстарды қосу үшін контактілі аудандар санын теңестіруге тырысады.

Негізгі ұғымдар мен анықтамалар.

Базалық ұяшық (БҰ) – кристалдың берілген ауданында үнемі қайталанатын сызбалық элементтердің   жиыны. Бұл жиын коммутирленбеген элементтерден, сондай-ақ жартылай коммутирленген элементтерден тұрады. БМК ішкі облысының базалық ұяшықтары матрицалық базалық ұяшықтар (МБҰ), ал периферилік белдеу ұяшықтары  периферийлік базалық ұяшықтар (ПБҰ) деп аталады.

БМК ұйымдастырудың екі тәсілі қолданыс тапқан:

- МБҰ элементтерінен бір логикалық элемент құрастырылады, ал одан да қиынырақ функцияларды іске асыру үшін бірнеше ұяшық қолданылады

- МБҰ элементерінен кез-келген функционалдық түйін құрылуы мүмкін, ал ұяшық элементтерінің құрамын күрделі түйін өз сызбасымен анықтайды.

Функционалды ұяшық (ФҰ) – функционалды біткен сызба бір немесе бірнеше сызба БҰ элементтерін қосу жолымен іске асырылады.

Функционалдық ұяшықтар кітапханасы – МАБИС-ті жоспарлауда қолданылатын ФҰ жиынтығы. Бұл кітапхана БМК құрастыруда жасалады және МАБИС жобалаушысын кристалды ОСН  осы немесе басқа типтес сызбаларды жасау жұмыстарынан босатады, яғни оларды іске асыру үшін дайын шешімдер ұсынады. Кітапхана құрамына функционалдық элементтер, түйіндер және олардың  бөліктерінің үлкен саны (жүздеген) кіреді. Жобалаушы кітапхананы пайдалана отырып, жұмыс қабілеттілігі тексеруден өткен, параметрлері белгілі сызбаларды іске қосады. Кітапханамен жұмыс істей отырып, ол функционалды-логикалық деңгейде жоспарлау жүргізеді, себебі сызбатехникалық деңгей мәселелері кітапхананы құру кезінде  шешілген болады.  Кітапхана элементтерінің (логикалық элементтер, триггерлер, одан да қиын түйіндер және олардың фрагменттері) қиындығы әртүрлі болып келеді. Кітапхана элементі құрамына бір немесе бірнеше БҰ кіруі мүмкін. Кітапхана элементі ауданы БҰ ауданына еселік болып келеді.  МАБИС жобалауда дайындалған  құрылғының функционалдық сызбасы кітапхана элементтерімен жабылған болуы керек деп айту қабылданған.

Эквивалентті вентиль (ЭВ) – вентильдың логикалық функциясын іске асыру мүмкіндігіне сәйкес келетін БМК элементтер тобы (екікірісті ЖӘНЕ-ЕМЕС элементі не болмаса НЕМЕСЕ-ЕМЕС). Эквиваленттік вентиль ұғымы БМК логикалық қиындығын бағалауға арналған. Тасымалдау каналдары – аралық қосуларды орналастыру мүмкіндігінің БМК жолдары. БМК тасымалдау қабілеттілігі – ортогональді бағыттардағы элементаралық байланыстар үшін бөлінген аудан. Аралық қосулар қабаттар саны да ескеріледі.

11 Дәріс. МПЖ архитектурасы

 

11.1 МПЖ негізгі міндеттері

 

МПЖ  келесі негізгі міндеттерді шешеді:

- ақпарат жинау;

- өңдеу;

- өлшеу нәтижелерін беру және (қажет болған жағдайда) осы мәліметтерді байланыс каналы бойынша жіберу.

МПЖ ақпараттық (Hard Ware) және бағдарламалық в (Soft Ware) құралдарының жиынтығын құрайды. Ақпараттық құралдар жоғары өнімділік немесе тез әсерлікті, ал бағдарламалық құралдар –МПҚ шешетін міндеттерінің аясын үлкейтеді.

Микропроцессор (МП) - кез-келген микропроцессорлық жүйенің орталық бөлігі – оған АЛҚ және командалық циклді жүзеге асыратын басқару құрылғысы кіреді. МП мен МПҚ құрамында ғана жұмыс жасай алады, оның құрамына жады, енгізу/жіберу құрылғылары, қосымша сызбалар (тактілік генератор, үзу контроллері және ПДП, шиналық құрастырушылар, регистр-қысқыштар және т.б.) кіреді.

Процессорда барлық командалар жүйесі орналасқан.  Процессор командалар жүйесінің құрылымы және көлемі оның тезәсерлігін, иілгіштігін, қолдану ыңғайлылығын анықтайды. Процессордағы барлық командалар саны бірнеше ондықтан бірнеше жүздікке дейін болуы мүмкін. Командалар жүйесі шешетін міндеттердің тар аумағына (арнайы жабдықталған процессорлар)  немесе міндеттердің кең аумағына  (әмбебап процессорлар) есептелген  болуы мүмкін.  Команда кодтары әртүрлі разрядтар санын (1 ден 8 байтқа дейін) иеленуі мүмкін. Әрбір команданың  өзінің орындалу уақыты болады, сондықтан барлық бағдарламаны орындау уақыты мен бағдарламадағы командалар санынан ғана емес, сол сияқты қандай қомандалардың  қолданылуына  байланысты болып келеді.

 

11.2 Байланыстардың шиналық құрылымы

 

Микропроцессорлық жүйелерде максимал әмбебаптылық және ақпараттармен ауысу хаттамаларын қысқарту үшін жүйеге кіретін жеке құрылғылар арасындағы байланыстардың шиналық құрылымы қолданылады.

Байланыстардың шиналық құрылымында құрылғылар арасындағы барлық сигналдар тек бір ғана байланыс сызықтары бойынша, бірақ әртүрлі уақытта (бұл мультиплексирленген берілу деп аталады) беріледі. Сондай-ақ барлық байланыс сызықтары бойынша  екі бағытта жүргізіледі (екібағытты берілу деп аталады). Нәтижесінде байланыс желілерінің саны едәуір қысқарады, ал ауысу ережесі (хаттамалар) қысқартылады. Сигналдар немесе кодтар берілетін байланыс сызықтары тобы  шина (ағылш. bus) деп аталады.

Артықшылығы: шинаға қосылған барлық құрылғылар тек бір ғана ереже бойынша (шина бойынша ақпаратты ауыстыру хаттамасы) ақпаратты қабылдап

және жіберуі керек. Осы құрылғыларда шинамен ауысуға жауапты  барлық түйіндер біртүрлі, унифицирленген болуы керек.

Кемшілігі: барлық құрылғылар әрбір желіге параллель қосылады. Сондықтан, ол барлық байланыс сызығын  істен шығарса,  кез-келген құрылғының істен шығуы  басқа желілердің істен шығуына әкелуі мүмкін. Шиналық құрылымды байланыстар жүйесінде сандық микросхемалардың шығыс каскад түрлерінің үшеуін де қолданады:

- стандартты шығыс немесе екі күйдегі шығыс ( 2С, 2S реті  ТТЛ, TTL сирек белгіленеді);

-ашық коллектормен шығыс  (белгіленуі  ОК, OC);

- үш күймен шығыс немесе (сол сияқты) ажырату мүмкіндігімен шығыс  (белгіленуі - 3С, 3S).

шығысында екі кілт кезекпен жабылады, бұл логикалық бірлік деңгейіне сәйкес келеді (жоғары кілт жабық) және логикалық нөл (төменгі кілт жабық). АК шығысында жабық кілт логикалық нөл деңгейін, ал ағытылғаны логикалық бірлікті қалыптастырады.  3С шығысында кілттер кезекпен жабылуы  (2С жағдайы сияқты) мүмкін, үшінші жоғары импеданстық күй тудырып, біруақытта ажыратылуы мүмкін. Үшінші күйге   (Z-күй) көшу  EZ арнайы кірісінде сигналмен басқарылады (11.2 суретті қара). 

 

            

11.2 сурет  -  Санды микросхемалар шығыстарының үш типі

 

3С және АК типті шығыс каскадтары микросхемалардың бірнеше шығыстарын мультиплексирленген немесе екі бағытты алу үшін біріктіреді. (11.4 суретті қара).

 

 11.3 сурет -  Мультиплексирленген сызық

 

 11.4 сурет  -  Екібағытты сызық

 

Бұл кезде 3С шығыстарын желіде әрқашан тек бір активті шығыс жұмыс істеу үшін қамтамасыз ету қажет,  ал қалған шығыстардың барлығы осы уақытта үшінші күйде болатындай етіп  қамтамасыз ету керек, басқаша болғанда қақтығыстар болуы мүмкін.

АК жалпы алынған шығыстары барлығы ешқандай қақтығыссыз біруақытта жұмыс істеуі мүмкін. МПЖ типтік құрылымы 11.6 суретте келтірілген. Оның құрамына 3 негізгі құрылғы типі енеді.

- процессор;

- мәліметтер мен бағдарламаларды сақтауға арналған оперативті жады (ОЗУ, RAM — Random Access Memory) мен тұрақты жадыдан (ПЗУ, ROM —Read Only Memory) тұратын, жады, оперативті жады.

   Микропроцессорлық жүйенің сыртқы құрылғылармен байланысы үшін, кіріс сигналдарын қабылдау (енгізу, оқу, Read) үшін және шығыс сигналдарын тарату ( шығару, жазу, Write)  үшін қолданылатын, енгізу/жіберу құрылғысы (УВВ, I/O - Input/Output Devices).

Микропроцессорлық жүйенің барлық құрылғылары жалпы жүйелік шинамен (жүйелік магистральмен) біріктіріледі. Жүйелік магистраль өзіне 4 негізгі төменгі деңгейдегі шинаны кіргізеді:

- агрес шинасы (Address Bus);

- мәліметтер шинасы (Data Bus);

- басқару шинасы (Control Bus);

- қоректену шинасы  (Power Bus).

Шина адресі (ША) процессордың дәл осы уақытта  ақпаратпен алмасу  уақытындағы  құрылғы адресін (ретін) анықтау үшін қажет. Әрбір құрылғыға (процессорден басқа), микропроцессорлық жүйедегі жадының әрбір ұяшығына меншікті адрес беріледі. Қандай да бір адрес коды қойылатын болса, осы адрес жазылған құрылғы оған ақпаратпен ауысу керек екенін түсінеді. Шина адресі бірбағыттық немесе екібағыттық болуы мүмкін.

Мәліметтер шинасы (МШ) – микропроцессорлық жүйенің барлық құрылғылары арасындағы ақпараттық кодтарды беру үшін қолданылатын ең негізгі шина. Әдетте ақпаратты жіберуде  процессор қатысады, ол мәліметтер кодын қандай да бір құрылғыдан немесе жады ұяшығынан береді, немесе қандай да бір құрылғыдан немесе жады ұяшығынан мәліметтер кодын қабылдайды. Құрылғылар арасында ақпаратты жіберу процессордың қатысуынсыз да болуы мүмкін.

Мәліметтер шинасы әрқашан екібағытты.

Басқару шинасының  (БУ) адрес шинасы мен мәліметтер шинасынан айырмашылығы оның жеке басқарылатын сигналдардан тұратыны  болып табылады. Осы сигналдардың әрқайсысы ақпарат ауысу кезінде өзінің функциясын атқарады. Кейбір сигналдар берілген немесе қабылданған сигналдарды стробирлеу үшін (яғни ақпараттық код шинаға қойылатын уақыт мезеттерін анықтайды) қызмет атқарады.

Қоректендіру шинасы ақпараттық сигналдарды қайта жіберу үшін емес, жүйені қоректендіруге арналған.  Ол қоректендіру желісінен және ортақ  сымнан тұрады. Микропроцессорлық жүйеде бір қоректендіру көзі ( көбінесе – 5 В, +12 В и –12 В) болуы мүмкін. Әрбір қоректендіру кернеуіне өзінің байланыс желісі сәйкес келеді.

Кез-келген жады ұяшығына бару үшін процессор оның адресін шина адресіне қойып және оның ақпараттық кодын мәліметтер шинасы бойынша жібереді немесе одан мәліметер шинасы бойынша ақпараттық кодты қабылдап алады. Жадыда (оперативті және тұрақты) басқарушы кодтар (бағдарлама процессорымен орындалатын командалар) болады, оларды да процессор мәліметтер шинасы көмегімен оқиды. Тұрақты жады негізінен микропроцессорлық жүйенің бастапқы қосу бағдарламасын  (BIOS) сақтау үшін қолданылады, ол әрбір қоректендіру қосылған сайын орындалып отырады.

 

11.3 МПЖ жұмыс режимі

 

Іс жүзінде кез-келген дамыған МПЖ (оның ішінде  компьютер) магистраль бойынша үш негізгі режимді ұстайды:

- ақпаратпен бағдарламалық алмасу;

- үзілістерді қолдану арқылы ауысу (Interrupts);

- жадыға тура ену жолын пайдалану арқылы ауысу (ПДП, DMA — Direct Memory Access).

Ақпаратпен бағдарламалық ауысу кез-келген МПЖ негізгісі болып табылады. Ол әрқашан қарастырылады, онсыз басқа ауысу режимдері мүмкін емес. Бұл режимде процессор жүйелік магистральдің иесі болып табылады. Осы жағдайдағы ақпаратпен ауысудың барлық операциялары (циклдары) МПС.  Ол  тек процессормен іске асырылады, олардың барлығы орындалатын бағдарламада жазылған ретпен қатаң орындалады.

Үзулер туралы ауысулар қандай да бір сыртқы оқиғаға МПЖ реакциясы қажет болғанда сыртқы сигнал келуіне жұмсалады. Компьютер жағдайында сыртқы оқиға ретінде, клавиатура тетігіне басу немесе локалды жүйе бойынша мәліметтер пакетінің келуі болуы мүмкін. Компьютер оған көңіл аударып,  яғни символдың экранға шығуы немесе жүйе бойынша алынған патентті оқуы немесе өңдеуі керек.

Жалпы жағдайда сыртқы жағдайға әсерді ұйымдастырудың үш жолы бар:

- оқиғаның тұрақты бағдарламалық бақылау фактісінің туындауы арқылы  жүйелі магистралдар. Алмасудың барлық операциялары (циклдары) (жалаудан сұрау жүргізу әдісі немесе polling);

- үзілістерді қолдану арқылы, ағымдағы орындалып келген бағдарламаны шұғыл қажет бағдарламаға процессорды мәжбүрлеп  ауыстыру;

- жадыға тура ену жолын пайдалану арқылы ауысуы.

 

11.4 МПЖ архитектурасы

 

Осыған дейін біз тек микропроцессорлық жүйелердің тек бір типін, жалпы шиналы архитектурасын, мәліметтер мен командалар үшін ортақ бір шиналықты (бір шиналық, немесе пристондық, фон-неймандық архитектурасын) қарастырдық. Сәйкесінше бұл жағдайда жүйе құрамында мәліметтер үшін де, командалар үшін де ортақ тағы да бір жалпы жады болады (11.6 суретті қара).

Бірақ бөлек шиналы мәліметтер архитектурасы мен командалар (екішиналық немесе гарвард архитектурасы) да болады. Бұл архитектура жүйеде мәліметтер үшін жеке жадының және командалар үшін жеке жадының болуын ұсынады (11.7 суретті қара). Осы екі типтің әрқайсысымен процессор ауысуы өз шинасы бойынша өтеді.

 

 

 

 

11.6 сурет МПЖ фон-нейманды  архитектурасы

 

Жалпы шиналы архитектура көбірек таралған, ол мысалы дербес компьютерлерде және күрделі микрокомпьютерлерде қолданылады. Бөлек шиналы архитектура негізінен біркристалды микроконтроллерде қолданылады

 

 

 11.7 сурет -  МПЖ гарвардты  архитектурасы

 

Жалпы шинасы бар архитектура (притондық, фон-неймандық) қарапайымырақ, ол процессордан біруақытта екі шинаға қызмет көрсетуді, екі шина бойынша ауысуды бақылауды талап етпейді. Мәліметтер мен командалардың ортақ жадысының болуы оның көлемін мәліметтер коды мен командалар коды арасында ыңғайлы етіп бөлуге көмектеседі.

 

12 Дәріс.  i8085 микропроцессоры

 

12.1 Жалпы мәліметтер 

 

 Intel фирмасы ең бірінші   МП процессорын, содан соң олардың жанұяларының қатарын құрастырды және қазіргі уақытта әртүрлі бағалаулар бойынша  шығарылатын микропроцессорлардың жалпы  көлемінің  85-92%  өндіреді.

Екі негізгі категорияларға RISC және CISC  бөлінетін көптеген процессорлар архитектуралары бар. 

RISC - Reduced (Restricted) Instruction Set Computer – қысқартылған командалар жүйесі бар процессорлар.  Бұл процессорлардың көбінесе әмбебап қолданыстағы біртекті регистрлер жиыны болады, сонымен қатар олардың саны көп болуы мүмкін. Командалар жүйесі салыстырмалы қарапайымдылығымен ерекшеленеді, қолданылу реті кодтары тіркелген ұзындығы бар нақты құрылымға ие. Нәтижесінде осындай архитектураны жүзеге асыру аздаған шығындар мен декодирлеуге және минималды шегінде   осы инструкцияларды ең аз синхронизациялау тактілерінің саны бойынша орындауға мүмкіндік береді.

CISC - Complete Instruction Set Computer - х86 жанұялары да жататын толық инструкциялар жиыны бар процессорлер (компьютерлер). Олардың регистрлерінің құрамы мен қызметі біртекті емес, командалардың кең жиыны инструкциялардың декодирленуін қиындатады, осыған байланысты аппараттық ресурстар шығындалады.  Инструкцияларды орындауға арналаған тактілер саны артады. х86 процессорлары дүние жүзіндегі ең күрделі командаларға ие. х86 процессорлар жанұясындағы С486 бастап аралас архитектура қолданылады. CISC-процессоры RISC-ядросына ие.

Есептеу процесін ұйымдастырудың келесі тәсілдерін бөлуге болады.

- командалардың бір ағыны – мәліметтердің бір ағыны (Simple Instruction - Simple Data, SISD) – дәстүрлі фон-нейман архитектурасына сипатты (кейде  Simple орнына  Single жазылады);

- командалардың бір ағыны – мәліметтердің көптік ағыны (Simple Instruction - Multiple Data, SIMD) – MMX технологиясы;

- көп командалар ағыны – бір мәліметтер ағыны (Multiple Instruction - Simple Data, MISD);

- командалардың көп ағыны – мәліметтердің көп ағыны  (Multiple Instruction - Multiple Data, MIMD).

Процессор микросхемасы міндетті түрде үш шиналар шығысына ие болады: адрес шинасы, мәліметтер шинасы және басқару шиналары. Кейде кейбір сигналдар және шиналар процессор микросхемалары шығысының азайту үшін мультиплексирленеді.

Процессордың негізгі сипаттамалары – оның мәліметтер шинасының разрядтар саны, оның адрес шинасындағы разрядтар саны және басқару шинасындағы басқарылатын сигналдар саны. Адрес шинасының разрядтылығы жүйенің шекті қиындығын анықтайды. Басқару желісінің саны ауысу режимінің әртүрлілігін және жүйенің басқа құрылғылармен процессор ауысуының тиімділігін анықтайды.

Негізгі үш шинаның сигналдары шығыстарынан басқа, процессор әрқашан сыртқы тактілік сигналды немесе кварцтік генераторды (CLK) қосу шығысына ие болады, яғни процессор тактілейтін құрылғы деген сөз. Процессордың тактілік жиілігі оның сыртқы емес, тек ішкі тез әсер етуін анықтайды.

Әрбір процессорда болатын сигнал – бұл алғашқы ауытқу RESET сигналы. Қоректендіру қосылғанда, авариялық жағдайда немесе процессордың тоқтап қалуында сигналдың  берілуі  процессордың тоқтап қалуы осы сигналды беру процессор инициализациясына әкеледі, оны бастапқы қосылу бағдарламасын орындауға кірісуге мәжбүр етеді.

Заманауи процессордың  қоректену шинасы әдетте бір кернеуге  (+5В немесе +3,3В) және ортақ сымға («жер») ие болады. Кейбір процессорларда төменгі энергия қоректендіру режимі қарастырылған.

Процессорды магистралға қосу үшін буферлік микросхемалар қолданылатын болса, сигналдардың демультиплексирленуі және магистрал сигналдарының электрлік буферленуі қолданылады. Процессордың шина бойынша және жүйелік магистраль бойынша ауысу хаттамалары кейде өзара сәйкес келмейді, онда буферлік микросхемалар хаттамаларды бір-бірімен сәйкестендіреді. Кейде микропроцессорлық жүйеде бірнеше магистралдар (жүйелік және локальді) қолданылады, осы кезде магистралдың әрқайсысы үшінөзінің буферлік түйіні қолданылады. Мұндай құрылым мысалы, дербес компьютерлікке тән болып келеді.

 

12.2 i8085 микропроцессор құрылымы

 

12.2 суретте i8085 МП ішкі құрылымы көрсетілген, оның құрамына буферлік регистрлі және ондық коррекция сызбалы 8-разрядтық АЛБ, РОН блогы, SP стек көрсету регистрлері және PC командасы есептегіші, алғашқы басқарушы автомат БА, адрес және мәліметтер шинасының буферлік сызбалары және жүйені басқару сызбасы кіреді.

 

12.2 сурет -  i8085 МП ішкі құрылымы

 

Сыртқы интерфейс 8-разрядтың екі бағытты мәліметтер шинасымен D[7:0], 16-разрядтың адрес шинасымен  A[15:0]  және басқару желісі тобымен көрсетілген.

Микропроцессор, оның блоктары ақпаратпен алмасатын ішкі сегіз разрядтық мәліметтер шинасынан тұрады.

Сызбада мынандай белгілеулер алынған:

- AC (Accumulator) — регистр- аккумулятор, екісатылы триггерлерде орындалған және біруақытта екі сөзді сақтауға қабілетті (біреуі операндтар және операция нәтижесі);

- W1 – операндттардың біреуін уақытша сақтау регистрі;

- ALU (Arithmetic-Logic Unit) — арифметикалық-логикалық құрылғы, оның кірісіне берілетін екі сөз – операндысы жұмысты орындайды. Аккумулятор мәліметтер көзі және қабылдағыш қызметін атқарады. АЛҚ тікелей қосу, азайту, ығысу, сөздерді салыстыру, разряд бойынша логикалық операциялар (коньюкция, дизьюкция, 2 модулы бойынша көбейту) операцияларын ғана орындайды. Бұдан күрделілеу операциялар (көбейту, бөлу және т.б) кіші бағдарламалар бойынша орындалады. АЛҚ-да екілік сандарды екілік ондыққа көшіру схемасы бар (DA, Decimal Adjust);

- RF (Register Rags) — яғни АЛҚ орындалған арифметикалық және логикалық нәтижелер белгілерін көрсететін жалаулар регистрі бес белгісі көрсетіледі: Z (Zero)- нөлдік нәтиже, С-тасымалдау, AC (Auxiliary Carry)-қосымша тасымалдау, S (Sign) – белгі, Р(Parity) – сөз салмағының тақтылығы. Қосымша тасымалдау белгісі (кіші және үлкен жартыбайттардың арасында) екілік-ондық кодта операциясын орындауда қажет.

Мәліметтердің ішкі шинасымен мультиплексор арқылы регистрлер блогы байланысқан, олардың бөлігі арнайы,  басқа бөлігі (жалпы қолданыстағы регистрлер, ЖҚР)  бағдарламалық қол жеткізерлік және программистің қарауына қарай қолданыла алады. Регистрлер W2, W3, B,C,D,E, H, L, SP және PC арқылы белгіленген.  W2 және W3 регистрлері жадыдан команданы таңдауда мәліметтерді уақытша сақтау үшін ғана арналған және программистерге рұқсат етілмейді. B,C,D,E,H,L жалпы қолданыстағы регистрлерге жатқызылады, себебі программист қарауына қарай  қолданыла алады. Бұл сегізразрядты регистрлер бөлек, немесе 16-разрядты регистрлер рөлінде болатын B-C, D-E, H-L жұптары түрінде қолданыла алады. Регистрлер жұптары жұптың бірінші регистрі бойынша аталады, B. D, H жұптары сияқты. H-L жұбы жанама регистрлік адресация кезінде оған адрестерді енгізу үшін қолданылады.

SP (Stack Pointer)  регистрі – стек көрсеткіші. Стек (дүкендік жады) сөздер массивін есте сақтау үшін ыңғайды, бұл кезде жеке адрестеу талап етілмейді. Сөз стекке белгілі бір ретпен енгізіледі, сол сияқты есептеу кезінде олардың реті алдын-ала белгілі болады. (Last In — First Out) типін ұйымдастыруда стекке жазылған соңғы сөз есептеу кезінде бірінші болып шығады. Стектің негізгі міндеті бағдарламаның үзілуіне қызмет көрсететін бағдарламаларды орындау.

PC (Program Counter) бағдарламалық есептегіші команда адресін береді және жады адресінің  64К ұяшықтарының кез-келгеніне орналасуы мүмкін. Микропроцессор МП ауытқуы кезінде РС нөлдік күйді қабылдайды, сөйтіп бірінші орындалатын ұяшық адресі болады, басқаша айтқанда команданы орындау нөлінші ұяшықтан басталады. Команда ұзындығын 1...3 байты құрайды. Жадыдан  келесі байтты таңдаған соң бағдарламалық есептегіш құрамы автоматты түрде инкрементирленеді, егер ағымдық команда немесе кері жағдайда ағымдық команданың келесі байты бір байттық болса, онда  PC келесі команда адресі шығады. Команданың екінші және үшінші байттары W2 және  W3 регистрлеріне түседі, олар бағдарламалық адрестелмейді және ішкі басқарудың блогымен ғана қолданылады.

 Берілген сөзді INC/DEC (Increment/Decrement) схемасы одан өтетін сөздерді +1 немесе  - 1-ге өзгертеді.

IR (Instruction Register)  командалар регистрі жадыдан команданың бірінші байтын (операция кодын) қабылдайды, олар дешифрациядан кейін операция кодымен алдын-ала жазылған машиналық циклдерді іске асыруға қажетті сигналдарды тудырады.

Басқару автоматының блогы командалардың шығыс регистрі циклдерді синхронизациялау,  күй сигналдарын генерациялау және шиналарды басқару (сыртқы құрылғылармен) үшін қолданылады.

МП мен жады (немесе СҚ) арасындағы ауысу кезінде жадының (немесе СҚ) сәйкес ұяшық адресі таңдалған команда немесе регистрлік жұптардың біреуінен  RA регистріне беріледі.

Үш шығу күйі бар ВА адрес буфері А адрестік шинасының сызығындағы разряд адресінің үлкен, аға сигналдарын жібереді.

 

13 Дәріс. Адресация және  командалар

 

Команда – бұл МП белгілі әрекеттер орындауға мәжбүр ететін екі сөз. Командалар жиыны – МП орындай алатын барлық командалар жиынтығы. Команда екі бөліктен: операция кодынан (коп – opcode) және адрестен тұрады. ОПК басқару сызбасына «не істеу керек» және есептегіш командалары үшін командалар ұзындығын хабарлайды; ал адрес осы операцияға қатысатын  мәліметтердің орнын көрсетеді. i8085 сериясы микропроцессорлар үшін командалар жиыны 75-80 команданы құрайды. Командалар саны ОПК санынан едәуір көп, себебі командалар құрған кезде операцияның сол бір коды түрлі адрестеу әдістерінде қолданылуы мүмкін. Қысқаша әріптік белгілеу ОПК адресті  сандық жазу формасы түрінде жазу ассемблер бағдарламалау тілі деп аталады. Ассемблердің бағдарлама-трансляторы ОПК мнемоникалық белгілеуін машиналық код деп аталатын сәйкес екілік кодтарға түрлендіреді.  МП әртүрлі ұзындықты командаларға ие: 1, 2 немесе 3 байт. Мәліметтерге қарау типін адрестеу тәсілі деп атайды.

Адрестеу әдісінің келесі түрлері болады:

- анық емес – ақпарат көзі мен қабылдаушы адресі бірбайттық командада анық емес көрсетілген. Мысалы, В регистрінен А регистріне (В → А) жіберу командасы.  MOV A, B – opcode = 78h – СУ жіберуге арналған команда коды; 111- А регистр адресі, 000- В регистрі адресі;

- тәуелсіз – ОПК әрқашан команданың бірінші байтында орналасады, ал одан кейін тікелей командаға байланысты 2 немесе 2 байтты алатын мәліметтер жүреді.  MVI A, 6Dh 3E – КОП,  6Д – мәлімет (сан), 6Д санын А регистріне жіберетін екібайттық команда (6Д → А), жадыда екі торшаны алады;

- тура  – ОПК-дан кейін жады адресі немесе кіріс/шығыс құрылғысы (интерфейсі) орналасады, яғни адрес команданың өзінде көрсетіледі. STA 83F8 – А регистрін 83F8 адресі бойынша жады торына жіберетін үшбайтты команда. 32 (КОП) – F8(мл.байт) – 83(ст.байт);

- жанама – бірбайттық  команда, жадыдағы мәліметтер адресі бар регистрлік жұбының (HL, SP) ОПК-да көрсетілетін.

MOV A, M   - 7Eh – ОПК, адресі HL  регистрлік жұбында орналасқан жады  торынан қайта жіберу (көшіру).

 

13.1 i8085 микропроцессор командалары

 

МП командалары 13.1 кестеде келтірілген бірінші бағанда r арқылы белгіленген,  rp арқылы белгіленген регистр жұптарының, М арқылы белгіленген жады ұяшықтарының, b3b2 арқылы белгіленген 2 және 3 байт командааралық,  port арқылы белгіленетін СҚ адрестерінің мнемокодтары берілген.

 

13.1 кесте - i8085 микропроцессор командалары

      Ассемблер

       КОП

Ұзындығы, байт

Мазмұны

Қайта жіберу командалары

     MOV r1, r2

01ПППИИИ

         1

Жіберу   r2 → r1

     MOV r, M

01ППП110

         1

Жіберу М → r

     MVI r, b2

00ППП110

         2

Байтты жіберу → r

     LXI rpb3b2

00ПР0001

         3

2 байтты жүктеу → rр

    LDA b3b2

       3A

         3

А-ны тура жүктеу

    SHLD b3b2

       22

         3

Тура жазу HL → M

     STA b3b2

       32

         3

Тура жазу A → M

Арифметикалық және логикалық командалар

     ADD r

10000ИИИ

         1

  А + r → А

     ADD M

       86

         1

  А + М → А

     ADI b2

       С6

         2

  А + байт → А

     ADC r

10001ИИИ

         1

  А + r + С → А 

     ACI b2

       СЕ

         2

  А + байт + С → А 

     SUB r

10010ИИИ

         1

  А - r → А

     SUI b2

      D6

         2

  А - байт → А

     SBB r

10011ИИИ

         1

  А - байт - С → А 

     INR r

00ППП100

         1

Регистр инкременті

     INR M

      34

         1

Жалы инкременті

13.1 кестенің жалғасы

     DCR r

00ППП101

         1

Регистр декременті

     DCR M

      35

         1

Жады декременті

     DAA

      27

         1

      BC → BCD

     ANA r

10100ИИИ

         1

Лог.ЖӘНЕ    А & r → A

         ORA r

10110ИИИ

          1

Лог. НЕМЕСЕ  А + r → A

         CMA

         2F

          1

Лог. ЕМЕС  инверсия А

         XRA r

10101ИИИ

          1

Ерекшелік. НЕМЕСЕ   А + r → А

         CMP r

10111ИИИ

          1

Салыстыру  А - r

         CPI b2

        FE

          2

Салыстыру А - байт

         RLC

        07

          1

Цикл.А солға ығысуы

         RRC

        0F

          1

Цикл.А оңға ығысуы

Басқару командалары

     JMP b3b2

         C3

          3

Шартсыз ауысым

     Jусл b3b2

11УУУ010

          3

Шартты ауысым

     CALL b3b2

         CD

          3

Бағдарламаны шақыру

         RET

         C9

          1

Қайтару

Арнайы командалар

       PUSH rp

11РП0101

          1

rp ағынына жіберу

       POP rp

11РП0001

          1

 rp ағынынан жүктеу

       IN port

         DB

          2

А енгізу

       OUT port

         D3

          2

А шығару

       EI

         FB

          1

Үзуге рұқсат беру

       DI

         F3

          1

Үзуге рұқсат бермеу

       NOP

         00

          1

Опреация жоқ

       HLT

         76

          1

тоқтатылды

 

Екінші бағанында ИИИ -мәліметтер көздерінің адресі; ППП – қабылдағыш адресі; РЖ- регистрлер жұбы. РОН адрестері: 000 – В; 001 – С; 010 – Д; 011 – Е; 100 – Н; 101 – L; 111 – A.

ADDadditionқосу;

SUBsubtraction азайту.

    

13.2 МПС құрылымдық сызба

 

Микропроцессорлық жүйе құрамына төмендегі микросызбалар кіреді:

- орталық  процессор i8085;

- тактілік импульстар генераторы (ТИГ) i8284A;

- ақаулар бақылаушысы i8259;

- баспа регистрі  i8282 сыртқы қондырғыларды бекітуге арналған;

- шиналы қалыптастырғыш  i8286 (екібағытты  мәліметтер буфері);

- оперативті жады RAM i2142;

- бағдарламаланатын  ПЗУ PROM i2716;

- бағдарламаланатын параллельді интерфейс (БПИ) i82С55.

 

13.1 сурет – i8085 базасындағы МПС сызбасы

 

13.3 Индикация құрылғылары

 

Жетісегментті индикаторлар МП регистрлерінің құрамын және жады ұяшықтарын бақылауға мүмкіндік береді, басқа ақпаратты бейнелейді. Әрбір индикаторға ОЗУ (ОЕСҚ) ұяшығы сәйкес келеді, онда индикатор сегменттерінің жарқырауын басқаратын жетісегментті код сақталады. Осы ұяшықтардан ақпарат арнайы сызба көмегімен индикаторларға жіберіліп, олар индикацияның динамикалық режимін қамтамасыз етеді. Бұл ұяшықтардағы әрбір бит анықталған сегментке (13.2 суретті қара) сәйкес келеді. Егер бит 1-ге тең болса, онда сәйкес сегмент жанатын болады, және керісінше 83FFH – жады ұяшығы оң индикаторға (барлығы сегіз) сәйкес келеді. 

Бит

7

6

5

4

3

2

1

0

Сегмент

H

G

F

E

D

C

B

A

 

 

 

 

 

 

 

 

 

 

13.2 сурет - Жеті сегментті индикатор сызбасы  

 

Сыртқы құрылғылармен ақпарат алмасу i82C55 бағдарламалаушы интерфейс арқылы іске асырылады.

Бағдарламалар ассемблер тілінде, яғни мнемониканы қолдану арқылы жазылады. МП команданы «түсіну» үшін оны машина тіліне (код) аудару керек. МП сандық сигналдармен тікелей жұмыс жасағандықтан, Ассемблер командалары екі кодта кодталған. Үлкен көрнекілік және ыңғайлылық үшін екі кодты он алтылыққа ауыстырады. Мысалы, 00111100 коды оналтылық түрде 3С деп жазылады, МП 8085 үшін А регистрі құрамындағы команданы 1-ге арттыруды көрсетеді және Ассемблер тілінде (INRA түрінде) жазылады.

Ассемблерде жазылған бағдарламалар қодың трансляциялау және ОЕСҚ жүктеуге ұшырайды, сондай-ақ жүктеу жадының тізбекті ұяшықтарында іске асырылады. Қолдан трансляциялау 13.1 кестесі көмегімен i8085 мнемоникалық белгілеулерімен іске асырылады. 

Мысалы индикаторға «8»  цифрын шығаратын бағдарламаны (13.2 кестені қара) . Бұл үшін  басқа барлық сегменттерге бірлік импульстер беру керек.  «1» байтының сәйкес разрядын қарастырыңыз (13.2 суретті қара). Екілік кодын аламыз: 01111111.   7Fh коды алдымен МП аккумуляторына  алдымен MVI A командасымен жазылады, содан соң А құрамындағы индикаторға қайта жіберіледі.

 

13.2 кесте

Адрес

Мазмұны

Оператор

Операнд

Түсініктеме

8000

8001

8002

8003

8004

8005

3E

7F

32

F8

83

76

MVI

 

STA

 

 

 

A, 7Аh

 

83F8h

 

 

 

 

 

Цифра  «8»

 

 

Останов

 

 

14 Дәріс. Арифметикалық және логикалық командалар

 

14.1 Арифметикалық командалар

 

МП орындалатын негізгі арифметикалық функциялардың бірі қосу болып табылады. Бір екілік санды екіншінің қосымша кодымен қосқан кезде азайту операциясы іске асырылады. Қосу көп рет қайтаналануы мүмкін, ал көп рет қосу дегеніміз, бұл көбейту операциясы. Қайталанатын азайту операциясы бөлу операциясын береді.

Екілік сандарды көбейту ондықтарды көбейтуге ұқсас. Екі жағдайда да ең шеткі оң позицияда орналасқан  ең аз мәнді сандар наймын өңдеуден  басталады. 

       Тасымалдау     110               0110  0000     С

       Қосылғыш   062               0011  1110     А

       Қосылғыш  049               0011   0001    В

       --------------------                -------------

       Сома          111               0110  1111     А

Он екілік сандарды азайту операциясы да ондықтарға ұқсас.

       Заем /тасымалдау/        1       0000  0010     С

       Азайғыш       62       0011  1110     А

       Азайтқыш          49       0011  0001     В

       --------------------                ------------

       Айырымы                13       0000  1101     А

 

Теріс екілік сандар қосымша кодта беріледі (2 дейін толықтырылған), оның қалыптасуы екі кезеңнен тұрады: теріс кодты алу және бірді қосу. Егер сан оң болса, онда үлкен бит белгі  0 тең, ал  кері жағдайда да 1-ге  тең. Екілік санның кері коды осы типті санның кодын инвертирлеу нәтижесі. Жоғарыда келтірілген  мысалда теріс санды қосымша кодта беруге болады

    0011 0001                                49 саны тура кодта

   ------------

   1100 1110                                49 кері коды

   0000 0001                                кері кодқа қосылған бірлік

   ------------

   1100 1111                                49 қосымша код

     Сонда екі санның айырмасы мынаған тең болады

   0011 1110  А         аға битте 0, яғни оң сан

   1100 1111  В

   ----------------

   0000 1101  А

Екі екілік санды көбейтуде екі амал орындалады: ығысу және қосу. Көбейтіндіні солға жылжыту, екілік санды 1 көбейту сол санның өзіне тең.

Бөлу көбейтуге кері операция, яғни азайтқыш азайғыштан аз болғанша алу деген сөз. Арифметикалық операциясының көбейтіндісін орындауға қажетті бағдарламаны жазуы керек «Қосу» операциясы АDD r командасымен орындалады , бұл А, В регистрлерінің мазмұнына байланысты мәліметтердің орналасу орнына тәуелді қосылады, қосылу командасы жады және А (АDD М),  мәліметтер және А (АDI байт) бірге болуы мүмкін.

«Азайту» операциясы SUB r, командасымен іске асырылады,  бұл кезде А регистрі құрамынан  r регистрі құрамы азайтылады. Осы сияқты азайту командалары және А жадысымен  (SUI байт) болуы мүмкін.

 

14.2 Логикалық  (биттік) командалар

 

Әрбір бит және булдік айнымалы болатын мәліметтермен операциялар жүргізу үшін НЕ, ЖӘНЕ, НЕМЕСЕ логикалық командалары, НЕМЕСЕ  жоққа шығару командалары қолданылады.  

ЕМЕС функциясын бірбайттық СМА (коп 2F)командасы жүзеге асырады, ол аккумулятор құрамын инвертирлейді.

ЖӘНЕ функциясын ANA B – коп  А0 командасы орындайды.

НЕМЕСЕ функциясы ОRА r, командасымен орындалады, ол «НЕМЕСЕ» бойынша А және  r регистрлер құрамын біріктіреді.  

«НЕМЕСЕ  шығарылып тасталынатын» функция  XRA r командасымен іске асырылады, бұл кезде A және  r регистрлері құрамындағы логикалық функция орындалады. Іс жүзінде аккумуляторды тазалау үшін XRA A (коп AF) командасы қолданылады, ол әрбір битте нөлдік  нәтиже береді.

i8085  екілік- ондық кодпен (ВСD) жұмыс істеуге мүмкіндік береді, онда әрбір разрядтың ондық сандары екілік болып танылады. Осының салдарынан 9 (1001+1111) екіде асатын сандар ВСD-да жіберілмейді. Осы мақсатта МП ондық коррекциясы жүзеге асырылады DАА (коп 27) командасы қарастырылған: егер аккумулятор құрамындағы жартылай байт 9-дан  үлкен болса, онда 6 қосылады. Ереже бойынша, ондық коррекция қосу операциясынан кейін орындалады.                                                                                

i8085 қосымша логикалық командаларына СМР r командасын жатқызуға болады, ол аккумулятор құрамы мен r регистрін бірінен  бірін алу кезінде А құрамын өзгертпеу жолы арқылы салыстыру жүргізеді. Салыстыру нәтижесі жалаулар биттері бойынша анықталады: егер  Z = 1 нолі,  А = r ; егер  А < r болса, ауысуы  C = 1 болады. Кейбір есептерді шешуде берілген санды 2 есе арттыру/ кеміту керек. Ол RRC (оңға) немесе RLC (солға), бір разрядқа жылжиды.

 RAR және RAL командаларының айырмашылығы - олардың  аккумулятор құрамының оңға  (солға)    циклдік ығысуы тасымалдау (С)  жалау биті  арқылы жүзеге асырылуында. 

CMC (коп 3F) командасы (C) тасу бит жалауын инвертирлейді.  

 

 

15 Дәріс. i82С55 кіріс-шығыс интерфейсі

 

15.1 Жалпы мағлұматтар

 

Енгізу/шығару жүйесі (КШЖ) МП сыртқы құрылғылармен байланысын қамтамасыз етеді, оларға мыналар жатады:

- енгізу/шығару құрылғылары (ЕШҚ) - пернетақта, дисплей, принтер, берілгіштер және орындаушы  механизмдер, АЦП, ЦАП, таймерлер және т.б.;

- сыртқы есте сақтау құрылғылары (СЕСҚ): магниттік дискідегі жинақтаушылар, «электронды дискілер» және т.б.

ЕШЖ қарастыру шегінде «ЕШҚ» және «СҚ» терминдерін синонимдер деп аламыз да, оларға процессор тарапынан көңіл аудару бір заң бойынша іске асырылады.

ЕШЖ келесі функциялардың орындалуын қамтамасыз етуі керек:

- мәліметтер форматын келістіру, себебі процессор әрқашан мәліметтерді параллельді формада жібереді/қабылдайды, ал кейбір СҚ (мысалы, НМД) – тізбекті формада.  Осы көзқарас тұрғысынан параллель және тізбекті интерфейс құрылғылары ажыратылады. Параллель ауысу аясында берілген сөздердің форматтарын түрлендіру жүргізілмейді, осы кезде тізбекті ауысуда параллель кодты тізбектіге түрлендіру жүзеге асырылады;

- ауысу режимін ұйымдастыру – әртүрлі шиналарда ақпараттың болуы, оның типі, СҚ күйі (Дайын, Бос емес, Авария),  ауысудың уақытша параметрлерін шектеу, индентификациялаушы басқару сигналдарының қалыптасуы мен қабылдануы.  Процессор және  СҚ байланыс тәсілімен (активті және пассивті)  асинхронды және синхрондық ауысуды бөліп көрсетуге болады.  Синхрондық ауысу кезінде ауысудың уақыттық сипаттамалары толығымен МП анықталады, ол СҚ ауысуға дайындығын және ауысудың іс-жүзіндегі аяқталу  уақытын сараптамайды. Синхрондық ауысу осыған үнемі дайын болатын құрылғылармен ғана болуы мүмкін (мысалы, екілік индикация).

Асинхронды ауысу кезінде МП ауысу біту процесінде СЖ күйін сараптайды. Осы жағдайда ауысудың уақыттық сипаттамалары СҚ анықтала алады:

 - сыртқы құрылғының сыртқы селекциясын.

Енгізу/шығару процесі МП басқаруымен не СҚ (ПДП контроллері) болуы мүмкін. Бірінші жағдайда енгізу/шығару әдістері екі түрге бөлінеді: сұрау бойынша және сүзу бойынша.

Сұрау бойынша енгізу/шығару әдісі СҚ жауапқа дайындығын процессормен үнемі тексеру дегенді білдіреді. Бұл әдістің кемшілігіне төменгі тезжүргіштікті жатқызуға болады. Процессор және сыртқа құрылғылар

жылдамдық бойынша сәйкес болулары керек. Егер ақпарат сирек түсетін болса (процессор СҚ сұрайды, ақпарат жоқ), тиімділігі төмен болады. 

      

15.2 Бағдарламаланатын i8255 периферийлік интерфейсі

 

Бағдарламаланатын i8255 бағдарламаланатын периферийлік интерфейсінің  (programmable peripheral interface – PPI)  40 шығысы бар:

- мәліметтерді енгізу/шығаруға арналған үш порт  А(РА7 – РА0), В(РВ7 – РВ0), С(РС7 – РС0);

- мәліметтерді уақытша сақтауға арналған мәліметтер буфері  МБ (Д7 – Д0);

- басқару блогы.

Басқару блогының 6 шығысы бар:  CS – бағдарламалау, есептеу немесе жазу операциялары үшін микросхемалар жиыны; А0, А1 – енгізу-шығару портын таңдау  (00 – порт А, 01 – В, 10 – С, 11 – басқару блогының командаларының регистрі); RD, WR – оқу және жазу операциясы үшін; RESET – бастапқы күйге келу ауытқу сигналы, яғни ППИ барлық порттары мәліметтердің енгізу құрылғысы ретінде МП қолданылады.

 

 

15.1 суретППИ құрылымдық

А, В, С порттары жеке бағдарламаланған, сол сияқты топтар бойынша бағдарламаланған болуы мүмкін: А тобы – А порты және С портының үлкен жартылай байтты (РС7 – РС4); В тобы – кіші жартылай байтты В порты (РС3 – РС0).   

      ППИ үш режимде жұмыс істейді:

- 0 режим – негізгі  (стробталмаған);

- 1 режим –стробталған енгізу-шығару ( бірбағытты);

- 2 режим – стробталған екібағытты ауыстыру.

 

15.2.1 Режим 0.

ППИ-ді 0 режимінде порттар топтарға қосылатындай етіп бағдарламалауға болады:

- екі бірбайттық және екі жартылай байттық порт (С порт) енгізу-шығару 16 мүмкін комбинациялары не үш бірбайттық порт А,В, және С порттарынан 8 мүмкін комбинациялар. Аккумулятор (А) мәліметтерді шығарған кезде МП

(OUT командасы бойынша, порт адресі) олар ППИ буферлік регистрінде есте сақталып, ал содан кейін порт шинасы арқылы белгіленген портқа жіберіледі.

Мәліметтерді аккумуляторға енгізген кезде МП А,В,С регистрлері «мөлдір» болады (мәліметтерді тіркемейді) және (IN, порт адресі) командасы бойынша мәліметтерді порт шинасынан микропроцессорлық  мәліметтердің жүйелік шинасына  (Д7 – Д0) жібереді.

 

15.2.2 Режим 1.

Бұл режимде С портының 6 разряды МП және СҚ арасындағы мәліметтер ауысуын синхронизациялау үшін А және В порттары арқылы пайдаланылады, яғни тек екі порт А және В порттары ғана қолданылады. Бұл кезде 1 режим стробирленген кіріс немесе стробирленген шығыс ретінде болуы мүмкін.

1 режимінің стробирленген шығысы А порты немесе В портының жалғамалары бар енгізу құрылғысы ретінде жұмыс жасауын ұсынады. Бұл сыртқы мәліметтердің МП оларды шығарып тастауға дайын болғанша портта сақталуына мүмкіндік береді. Көптеген енгізу/шығару құрылғылары ақпаратты МП істегенге қарағанда біраз баяулау қабылдайды және береді. Ақпаратты ендіру-шығару процесін басқару әдісі бар, ол квиртирлеу (handshaking) немесе сауалнама  (poling) деп аталады да, МП-мен енгізу-шығару құрылғысының жұмысын синхрондайды. Мысалы, квитирлеуді талап ететін құрылғы болып, секундына 100 белгі (cps) басып шығаратын жылдамдықты параллель принтер бола алады.  Принтерден оның бос еместігі туралы сұрау  сауалнама  жүргізу,  ал принтерге бір уақыттағы Strobe  сигналымен мәліметтер жіберу – квиртирлеу деп аталады.

  

Әдебиеттер тізімі

 

1. Гусев В. Г. Электроника и микропроцессорная техника: Учебник для вузов. – М.: Высшая школа, 2006. – 799 с.

2. Опадчий Ю.Ф. Аналоговая и цифровая электроника:  Учебник для вузов. /Под ред. О.П.Глудкина. – М.: Горячая линия‑Телеком, 2005. – 768 с.

3. Новиков Ю.В., Скоробогатов П.К. Основы микропроцессорной техники. – М.: БИНОМ. Лаборатория знаний, 2006.

4. Угрюмов Е.П. Цифровая сұлбатехника. – СПб.: БХВ-Петербург, 2002. – 528 с.

5. Шанаев У.Т. Цифровые устройства и микропроцессоры. Методические указания к выполнению лабораторных работ. – Алматы: АУЭС, 2010. – 25 с.

6. Байкенов Б.С. 8085-симулятор. Методические указания к выполнению лабораторных работ. – Алматы: АУЭС, 2010. – 24 с.

 

Мазмұны  

Кіріспе                                                                                                                   3

1 Дәріс. Цифрлық құрылғының логикалық элементтері                                      4

2 Дәріс. Комбинациялы типті цифрлық құрылғылар                                          9

3 Дәріс. Әмбебап логикалық модульдер                                                              14

4 Дәріс. Компараторлар және бақылау схемалары                                              19

5 Дәріс. Сумматорлар                                                                                           24

6 Дәріс. АЛҚ және матрицалық көбейткіштер                                                     28

7 Дәріс. Триггерлер және  регистрлер                                                                 34

8 Дәріс. Екілік есептегіштер                                                                                  39

9 Дәріс. Есте  сақтау құрылғылары                                                                      44

10 Дәріс. ПЛМ және  ПМЛ                                                                                   48

11 Дәріс. МПЖ архитектурасы                                                                             54

12 Дәріс. i8085 микропроцессоры                                                                        59

13 Дәріс. Адресация және  командалар                                                                62

14 Дәріс. Арифметикалық және логикалық командалар                                      66

15 Дәріс. i82С55 кіріс-шығыс интерфейсі                                                             68

Әдебиеттер тізімі                                                                                                  71

  

2011 ж. жинақ жоспар, реті 368